File: micromips-noinsn32.d

package info (click to toggle)
binutils 2.31.1-16
  • links: PTS, VCS
  • area: main
  • in suites: buster
  • size: 309,412 kB
  • sloc: ansic: 1,161,194; asm: 638,508; cpp: 128,829; exp: 68,580; makefile: 55,828; sh: 22,360; yacc: 14,238; lisp: 13,272; perl: 2,111; ada: 1,681; lex: 1,652; pascal: 1,446; cs: 879; sed: 195; python: 154; xml: 95; awk: 25
file content (7686 lines) | stat: -rw-r--r-- 292,636 bytes parent folder | download | duplicates (7)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
4702
4703
4704
4705
4706
4707
4708
4709
4710
4711
4712
4713
4714
4715
4716
4717
4718
4719
4720
4721
4722
4723
4724
4725
4726
4727
4728
4729
4730
4731
4732
4733
4734
4735
4736
4737
4738
4739
4740
4741
4742
4743
4744
4745
4746
4747
4748
4749
4750
4751
4752
4753
4754
4755
4756
4757
4758
4759
4760
4761
4762
4763
4764
4765
4766
4767
4768
4769
4770
4771
4772
4773
4774
4775
4776
4777
4778
4779
4780
4781
4782
4783
4784
4785
4786
4787
4788
4789
4790
4791
4792
4793
4794
4795
4796
4797
4798
4799
4800
4801
4802
4803
4804
4805
4806
4807
4808
4809
4810
4811
4812
4813
4814
4815
4816
4817
4818
4819
4820
4821
4822
4823
4824
4825
4826
4827
4828
4829
4830
4831
4832
4833
4834
4835
4836
4837
4838
4839
4840
4841
4842
4843
4844
4845
4846
4847
4848
4849
4850
4851
4852
4853
4854
4855
4856
4857
4858
4859
4860
4861
4862
4863
4864
4865
4866
4867
4868
4869
4870
4871
4872
4873
4874
4875
4876
4877
4878
4879
4880
4881
4882
4883
4884
4885
4886
4887
4888
4889
4890
4891
4892
4893
4894
4895
4896
4897
4898
4899
4900
4901
4902
4903
4904
4905
4906
4907
4908
4909
4910
4911
4912
4913
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934
4935
4936
4937
4938
4939
4940
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963
4964
4965
4966
4967
4968
4969
4970
4971
4972
4973
4974
4975
4976
4977
4978
4979
4980
4981
4982
4983
4984
4985
4986
4987
4988
4989
4990
4991
4992
4993
4994
4995
4996
4997
4998
4999
5000
5001
5002
5003
5004
5005
5006
5007
5008
5009
5010
5011
5012
5013
5014
5015
5016
5017
5018
5019
5020
5021
5022
5023
5024
5025
5026
5027
5028
5029
5030
5031
5032
5033
5034
5035
5036
5037
5038
5039
5040
5041
5042
5043
5044
5045
5046
5047
5048
5049
5050
5051
5052
5053
5054
5055
5056
5057
5058
5059
5060
5061
5062
5063
5064
5065
5066
5067
5068
5069
5070
5071
5072
5073
5074
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
5152
5153
5154
5155
5156
5157
5158
5159
5160
5161
5162
5163
5164
5165
5166
5167
5168
5169
5170
5171
5172
5173
5174
5175
5176
5177
5178
5179
5180
5181
5182
5183
5184
5185
5186
5187
5188
5189
5190
5191
5192
5193
5194
5195
5196
5197
5198
5199
5200
5201
5202
5203
5204
5205
5206
5207
5208
5209
5210
5211
5212
5213
5214
5215
5216
5217
5218
5219
5220
5221
5222
5223
5224
5225
5226
5227
5228
5229
5230
5231
5232
5233
5234
5235
5236
5237
5238
5239
5240
5241
5242
5243
5244
5245
5246
5247
5248
5249
5250
5251
5252
5253
5254
5255
5256
5257
5258
5259
5260
5261
5262
5263
5264
5265
5266
5267
5268
5269
5270
5271
5272
5273
5274
5275
5276
5277
5278
5279
5280
5281
5282
5283
5284
5285
5286
5287
5288
5289
5290
5291
5292
5293
5294
5295
5296
5297
5298
5299
5300
5301
5302
5303
5304
5305
5306
5307
5308
5309
5310
5311
5312
5313
5314
5315
5316
5317
5318
5319
5320
5321
5322
5323
5324
5325
5326
5327
5328
5329
5330
5331
5332
5333
5334
5335
5336
5337
5338
5339
5340
5341
5342
5343
5344
5345
5346
5347
5348
5349
5350
5351
5352
5353
5354
5355
5356
5357
5358
5359
5360
5361
5362
5363
5364
5365
5366
5367
5368
5369
5370
5371
5372
5373
5374
5375
5376
5377
5378
5379
5380
5381
5382
5383
5384
5385
5386
5387
5388
5389
5390
5391
5392
5393
5394
5395
5396
5397
5398
5399
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5411
5412
5413
5414
5415
5416
5417
5418
5419
5420
5421
5422
5423
5424
5425
5426
5427
5428
5429
5430
5431
5432
5433
5434
5435
5436
5437
5438
5439
5440
5441
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
5452
5453
5454
5455
5456
5457
5458
5459
5460
5461
5462
5463
5464
5465
5466
5467
5468
5469
5470
5471
5472
5473
5474
5475
5476
5477
5478
5479
5480
5481
5482
5483
5484
5485
5486
5487
5488
5489
5490
5491
5492
5493
5494
5495
5496
5497
5498
5499
5500
5501
5502
5503
5504
5505
5506
5507
5508
5509
5510
5511
5512
5513
5514
5515
5516
5517
5518
5519
5520
5521
5522
5523
5524
5525
5526
5527
5528
5529
5530
5531
5532
5533
5534
5535
5536
5537
5538
5539
5540
5541
5542
5543
5544
5545
5546
5547
5548
5549
5550
5551
5552
5553
5554
5555
5556
5557
5558
5559
5560
5561
5562
5563
5564
5565
5566
5567
5568
5569
5570
5571
5572
5573
5574
5575
5576
5577
5578
5579
5580
5581
5582
5583
5584
5585
5586
5587
5588
5589
5590
5591
5592
5593
5594
5595
5596
5597
5598
5599
5600
5601
5602
5603
5604
5605
5606
5607
5608
5609
5610
5611
5612
5613
5614
5615
5616
5617
5618
5619
5620
5621
5622
5623
5624
5625
5626
5627
5628
5629
5630
5631
5632
5633
5634
5635
5636
5637
5638
5639
5640
5641
5642
5643
5644
5645
5646
5647
5648
5649
5650
5651
5652
5653
5654
5655
5656
5657
5658
5659
5660
5661
5662
5663
5664
5665
5666
5667
5668
5669
5670
5671
5672
5673
5674
5675
5676
5677
5678
5679
5680
5681
5682
5683
5684
5685
5686
5687
5688
5689
5690
5691
5692
5693
5694
5695
5696
5697
5698
5699
5700
5701
5702
5703
5704
5705
5706
5707
5708
5709
5710
5711
5712
5713
5714
5715
5716
5717
5718
5719
5720
5721
5722
5723
5724
5725
5726
5727
5728
5729
5730
5731
5732
5733
5734
5735
5736
5737
5738
5739
5740
5741
5742
5743
5744
5745
5746
5747
5748
5749
5750
5751
5752
5753
5754
5755
5756
5757
5758
5759
5760
5761
5762
5763
5764
5765
5766
5767
5768
5769
5770
5771
5772
5773
5774
5775
5776
5777
5778
5779
5780
5781
5782
5783
5784
5785
5786
5787
5788
5789
5790
5791
5792
5793
5794
5795
5796
5797
5798
5799
5800
5801
5802
5803
5804
5805
5806
5807
5808
5809
5810
5811
5812
5813
5814
5815
5816
5817
5818
5819
5820
5821
5822
5823
5824
5825
5826
5827
5828
5829
5830
5831
5832
5833
5834
5835
5836
5837
5838
5839
5840
5841
5842
5843
5844
5845
5846
5847
5848
5849
5850
5851
5852
5853
5854
5855
5856
5857
5858
5859
5860
5861
5862
5863
5864
5865
5866
5867
5868
5869
5870
5871
5872
5873
5874
5875
5876
5877
5878
5879
5880
5881
5882
5883
5884
5885
5886
5887
5888
5889
5890
5891
5892
5893
5894
5895
5896
5897
5898
5899
5900
5901
5902
5903
5904
5905
5906
5907
5908
5909
5910
5911
5912
5913
5914
5915
5916
5917
5918
5919
5920
5921
5922
5923
5924
5925
5926
5927
5928
5929
5930
5931
5932
5933
5934
5935
5936
5937
5938
5939
5940
5941
5942
5943
5944
5945
5946
5947
5948
5949
5950
5951
5952
5953
5954
5955
5956
5957
5958
5959
5960
5961
5962
5963
5964
5965
5966
5967
5968
5969
5970
5971
5972
5973
5974
5975
5976
5977
5978
5979
5980
5981
5982
5983
5984
5985
5986
5987
5988
5989
5990
5991
5992
5993
5994
5995
5996
5997
5998
5999
6000
6001
6002
6003
6004
6005
6006
6007
6008
6009
6010
6011
6012
6013
6014
6015
6016
6017
6018
6019
6020
6021
6022
6023
6024
6025
6026
6027
6028
6029
6030
6031
6032
6033
6034
6035
6036
6037
6038
6039
6040
6041
6042
6043
6044
6045
6046
6047
6048
6049
6050
6051
6052
6053
6054
6055
6056
6057
6058
6059
6060
6061
6062
6063
6064
6065
6066
6067
6068
6069
6070
6071
6072
6073
6074
6075
6076
6077
6078
6079
6080
6081
6082
6083
6084
6085
6086
6087
6088
6089
6090
6091
6092
6093
6094
6095
6096
6097
6098
6099
6100
6101
6102
6103
6104
6105
6106
6107
6108
6109
6110
6111
6112
6113
6114
6115
6116
6117
6118
6119
6120
6121
6122
6123
6124
6125
6126
6127
6128
6129
6130
6131
6132
6133
6134
6135
6136
6137
6138
6139
6140
6141
6142
6143
6144
6145
6146
6147
6148
6149
6150
6151
6152
6153
6154
6155
6156
6157
6158
6159
6160
6161
6162
6163
6164
6165
6166
6167
6168
6169
6170
6171
6172
6173
6174
6175
6176
6177
6178
6179
6180
6181
6182
6183
6184
6185
6186
6187
6188
6189
6190
6191
6192
6193
6194
6195
6196
6197
6198
6199
6200
6201
6202
6203
6204
6205
6206
6207
6208
6209
6210
6211
6212
6213
6214
6215
6216
6217
6218
6219
6220
6221
6222
6223
6224
6225
6226
6227
6228
6229
6230
6231
6232
6233
6234
6235
6236
6237
6238
6239
6240
6241
6242
6243
6244
6245
6246
6247
6248
6249
6250
6251
6252
6253
6254
6255
6256
6257
6258
6259
6260
6261
6262
6263
6264
6265
6266
6267
6268
6269
6270
6271
6272
6273
6274
6275
6276
6277
6278
6279
6280
6281
6282
6283
6284
6285
6286
6287
6288
6289
6290
6291
6292
6293
6294
6295
6296
6297
6298
6299
6300
6301
6302
6303
6304
6305
6306
6307
6308
6309
6310
6311
6312
6313
6314
6315
6316
6317
6318
6319
6320
6321
6322
6323
6324
6325
6326
6327
6328
6329
6330
6331
6332
6333
6334
6335
6336
6337
6338
6339
6340
6341
6342
6343
6344
6345
6346
6347
6348
6349
6350
6351
6352
6353
6354
6355
6356
6357
6358
6359
6360
6361
6362
6363
6364
6365
6366
6367
6368
6369
6370
6371
6372
6373
6374
6375
6376
6377
6378
6379
6380
6381
6382
6383
6384
6385
6386
6387
6388
6389
6390
6391
6392
6393
6394
6395
6396
6397
6398
6399
6400
6401
6402
6403
6404
6405
6406
6407
6408
6409
6410
6411
6412
6413
6414
6415
6416
6417
6418
6419
6420
6421
6422
6423
6424
6425
6426
6427
6428
6429
6430
6431
6432
6433
6434
6435
6436
6437
6438
6439
6440
6441
6442
6443
6444
6445
6446
6447
6448
6449
6450
6451
6452
6453
6454
6455
6456
6457
6458
6459
6460
6461
6462
6463
6464
6465
6466
6467
6468
6469
6470
6471
6472
6473
6474
6475
6476
6477
6478
6479
6480
6481
6482
6483
6484
6485
6486
6487
6488
6489
6490
6491
6492
6493
6494
6495
6496
6497
6498
6499
6500
6501
6502
6503
6504
6505
6506
6507
6508
6509
6510
6511
6512
6513
6514
6515
6516
6517
6518
6519
6520
6521
6522
6523
6524
6525
6526
6527
6528
6529
6530
6531
6532
6533
6534
6535
6536
6537
6538
6539
6540
6541
6542
6543
6544
6545
6546
6547
6548
6549
6550
6551
6552
6553
6554
6555
6556
6557
6558
6559
6560
6561
6562
6563
6564
6565
6566
6567
6568
6569
6570
6571
6572
6573
6574
6575
6576
6577
6578
6579
6580
6581
6582
6583
6584
6585
6586
6587
6588
6589
6590
6591
6592
6593
6594
6595
6596
6597
6598
6599
6600
6601
6602
6603
6604
6605
6606
6607
6608
6609
6610
6611
6612
6613
6614
6615
6616
6617
6618
6619
6620
6621
6622
6623
6624
6625
6626
6627
6628
6629
6630
6631
6632
6633
6634
6635
6636
6637
6638
6639
6640
6641
6642
6643
6644
6645
6646
6647
6648
6649
6650
6651
6652
6653
6654
6655
6656
6657
6658
6659
6660
6661
6662
6663
6664
6665
6666
6667
6668
6669
6670
6671
6672
6673
6674
6675
6676
6677
6678
6679
6680
6681
6682
6683
6684
6685
6686
6687
6688
6689
6690
6691
6692
6693
6694
6695
6696
6697
6698
6699
6700
6701
6702
6703
6704
6705
6706
6707
6708
6709
6710
6711
6712
6713
6714
6715
6716
6717
6718
6719
6720
6721
6722
6723
6724
6725
6726
6727
6728
6729
6730
6731
6732
6733
6734
6735
6736
6737
6738
6739
6740
6741
6742
6743
6744
6745
6746
6747
6748
6749
6750
6751
6752
6753
6754
6755
6756
6757
6758
6759
6760
6761
6762
6763
6764
6765
6766
6767
6768
6769
6770
6771
6772
6773
6774
6775
6776
6777
6778
6779
6780
6781
6782
6783
6784
6785
6786
6787
6788
6789
6790
6791
6792
6793
6794
6795
6796
6797
6798
6799
6800
6801
6802
6803
6804
6805
6806
6807
6808
6809
6810
6811
6812
6813
6814
6815
6816
6817
6818
6819
6820
6821
6822
6823
6824
6825
6826
6827
6828
6829
6830
6831
6832
6833
6834
6835
6836
6837
6838
6839
6840
6841
6842
6843
6844
6845
6846
6847
6848
6849
6850
6851
6852
6853
6854
6855
6856
6857
6858
6859
6860
6861
6862
6863
6864
6865
6866
6867
6868
6869
6870
6871
6872
6873
6874
6875
6876
6877
6878
6879
6880
6881
6882
6883
6884
6885
6886
6887
6888
6889
6890
6891
6892
6893
6894
6895
6896
6897
6898
6899
6900
6901
6902
6903
6904
6905
6906
6907
6908
6909
6910
6911
6912
6913
6914
6915
6916
6917
6918
6919
6920
6921
6922
6923
6924
6925
6926
6927
6928
6929
6930
6931
6932
6933
6934
6935
6936
6937
6938
6939
6940
6941
6942
6943
6944
6945
6946
6947
6948
6949
6950
6951
6952
6953
6954
6955
6956
6957
6958
6959
6960
6961
6962
6963
6964
6965
6966
6967
6968
6969
6970
6971
6972
6973
6974
6975
6976
6977
6978
6979
6980
6981
6982
6983
6984
6985
6986
6987
6988
6989
6990
6991
6992
6993
6994
6995
6996
6997
6998
6999
7000
7001
7002
7003
7004
7005
7006
7007
7008
7009
7010
7011
7012
7013
7014
7015
7016
7017
7018
7019
7020
7021
7022
7023
7024
7025
7026
7027
7028
7029
7030
7031
7032
7033
7034
7035
7036
7037
7038
7039
7040
7041
7042
7043
7044
7045
7046
7047
7048
7049
7050
7051
7052
7053
7054
7055
7056
7057
7058
7059
7060
7061
7062
7063
7064
7065
7066
7067
7068
7069
7070
7071
7072
7073
7074
7075
7076
7077
7078
7079
7080
7081
7082
7083
7084
7085
7086
7087
7088
7089
7090
7091
7092
7093
7094
7095
7096
7097
7098
7099
7100
7101
7102
7103
7104
7105
7106
7107
7108
7109
7110
7111
7112
7113
7114
7115
7116
7117
7118
7119
7120
7121
7122
7123
7124
7125
7126
7127
7128
7129
7130
7131
7132
7133
7134
7135
7136
7137
7138
7139
7140
7141
7142
7143
7144
7145
7146
7147
7148
7149
7150
7151
7152
7153
7154
7155
7156
7157
7158
7159
7160
7161
7162
7163
7164
7165
7166
7167
7168
7169
7170
7171
7172
7173
7174
7175
7176
7177
7178
7179
7180
7181
7182
7183
7184
7185
7186
7187
7188
7189
7190
7191
7192
7193
7194
7195
7196
7197
7198
7199
7200
7201
7202
7203
7204
7205
7206
7207
7208
7209
7210
7211
7212
7213
7214
7215
7216
7217
7218
7219
7220
7221
7222
7223
7224
7225
7226
7227
7228
7229
7230
7231
7232
7233
7234
7235
7236
7237
7238
7239
7240
7241
7242
7243
7244
7245
7246
7247
7248
7249
7250
7251
7252
7253
7254
7255
7256
7257
7258
7259
7260
7261
7262
7263
7264
7265
7266
7267
7268
7269
7270
7271
7272
7273
7274
7275
7276
7277
7278
7279
7280
7281
7282
7283
7284
7285
7286
7287
7288
7289
7290
7291
7292
7293
7294
7295
7296
7297
7298
7299
7300
7301
7302
7303
7304
7305
7306
7307
7308
7309
7310
7311
7312
7313
7314
7315
7316
7317
7318
7319
7320
7321
7322
7323
7324
7325
7326
7327
7328
7329
7330
7331
7332
7333
7334
7335
7336
7337
7338
7339
7340
7341
7342
7343
7344
7345
7346
7347
7348
7349
7350
7351
7352
7353
7354
7355
7356
7357
7358
7359
7360
7361
7362
7363
7364
7365
7366
7367
7368
7369
7370
7371
7372
7373
7374
7375
7376
7377
7378
7379
7380
7381
7382
7383
7384
7385
7386
7387
7388
7389
7390
7391
7392
7393
7394
7395
7396
7397
7398
7399
7400
7401
7402
7403
7404
7405
7406
7407
7408
7409
7410
7411
7412
7413
7414
7415
7416
7417
7418
7419
7420
7421
7422
7423
7424
7425
7426
7427
7428
7429
7430
7431
7432
7433
7434
7435
7436
7437
7438
7439
7440
7441
7442
7443
7444
7445
7446
7447
7448
7449
7450
7451
7452
7453
7454
7455
7456
7457
7458
7459
7460
7461
7462
7463
7464
7465
7466
7467
7468
7469
7470
7471
7472
7473
7474
7475
7476
7477
7478
7479
7480
7481
7482
7483
7484
7485
7486
7487
7488
7489
7490
7491
7492
7493
7494
7495
7496
7497
7498
7499
7500
7501
7502
7503
7504
7505
7506
7507
7508
7509
7510
7511
7512
7513
7514
7515
7516
7517
7518
7519
7520
7521
7522
7523
7524
7525
7526
7527
7528
7529
7530
7531
7532
7533
7534
7535
7536
7537
7538
7539
7540
7541
7542
7543
7544
7545
7546
7547
7548
7549
7550
7551
7552
7553
7554
7555
7556
7557
7558
7559
7560
7561
7562
7563
7564
7565
7566
7567
7568
7569
7570
7571
7572
7573
7574
7575
7576
7577
7578
7579
7580
7581
7582
7583
7584
7585
7586
7587
7588
7589
7590
7591
7592
7593
7594
7595
7596
7597
7598
7599
7600
7601
7602
7603
7604
7605
7606
7607
7608
7609
7610
7611
7612
7613
7614
7615
7616
7617
7618
7619
7620
7621
7622
7623
7624
7625
7626
7627
7628
7629
7630
7631
7632
7633
7634
7635
7636
7637
7638
7639
7640
7641
7642
7643
7644
7645
7646
7647
7648
7649
7650
7651
7652
7653
7654
7655
7656
7657
7658
7659
7660
7661
7662
7663
7664
7665
7666
7667
7668
7669
7670
7671
7672
7673
7674
7675
7676
7677
7678
7679
7680
7681
7682
7683
7684
7685
7686
#objdump: -dr --show-raw-insn
#name: microMIPS for MIPS32r2 (instructions valid in insn32 mode)
#as: -mips32r2 -32 -mfp64 -EB --defsym insn32=1
#stderr: micromips-warn.l
#source: micromips.s

.*: +file format .*mips.*

Disassembly of section \.text:

[0-9a-f]+ <test>:
[ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
[ 0-9a-f]+:	6000 27ff 	pref	0x0,2047\(zero\)
[ 0-9a-f]+:	6000 2800 	pref	0x0,-2048\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	6001 2000 	pref	0x0,0\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	6001 2000 	pref	0x0,0\(at\)
[ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
[ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
[ 0-9a-f]+:	6020 2000 	pref	0x1,0\(zero\)
[ 0-9a-f]+:	6040 2000 	pref	0x2,0\(zero\)
[ 0-9a-f]+:	6060 2000 	pref	0x3,0\(zero\)
[ 0-9a-f]+:	6080 2000 	pref	0x4,0\(zero\)
[ 0-9a-f]+:	60a0 2000 	pref	0x5,0\(zero\)
[ 0-9a-f]+:	60c0 2000 	pref	0x6,0\(zero\)
[ 0-9a-f]+:	60e0 2000 	pref	0x7,0\(zero\)
[ 0-9a-f]+:	60e0 21ff 	pref	0x7,511\(zero\)
[ 0-9a-f]+:	60e0 2e00 	pref	0x7,-512\(zero\)
[ 0-9a-f]+:	63e0 27ff 	pref	0x1f,2047\(zero\)
[ 0-9a-f]+:	63e0 2800 	pref	0x1f,-2048\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
[ 0-9a-f]+:	63e2 27ff 	pref	0x1f,2047\(v0\)
[ 0-9a-f]+:	63e2 2800 	pref	0x1f,-2048\(v0\)
[ 0-9a-f]+:	3022 0800 	addiu	at,v0,2048
[ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
[ 0-9a-f]+:	3022 f7ff 	addiu	at,v0,-2049
[ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
[ 0-9a-f]+:	3022 7fff 	addiu	at,v0,32767
[ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
[ 0-9a-f]+:	3022 8000 	addiu	at,v0,-32768
[ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0000 0800 	ssnop
[ 0-9a-f]+:	0000 1800 	ehb
[ 0-9a-f]+:	0000 2800 	pause
[ 0-9a-f]+:	ed7f      	li	v0,-1
[ 0-9a-f]+:	edff      	li	v1,-1
[ 0-9a-f]+:	ee7f      	li	a0,-1
[ 0-9a-f]+:	eeff      	li	a1,-1
[ 0-9a-f]+:	ef7f      	li	a2,-1
[ 0-9a-f]+:	efff      	li	a3,-1
[ 0-9a-f]+:	ec7f      	li	s0,-1
[ 0-9a-f]+:	ecff      	li	s1,-1
[ 0-9a-f]+:	ec80      	li	s1,0
[ 0-9a-f]+:	ecfd      	li	s1,125
[ 0-9a-f]+:	ecfe      	li	s1,126
[ 0-9a-f]+:	3220 007f 	li	s1,127
[ 0-9a-f]+:	3040 0000 	li	v0,0
[ 0-9a-f]+:	3040 0001 	li	v0,1
[ 0-9a-f]+:	3040 7fff 	li	v0,32767
[ 0-9a-f]+:	3040 8000 	li	v0,-32768
[ 0-9a-f]+:	5040 ffff 	li	v0,0xffff
[ 0-9a-f]+:	41a2 0001 	lui	v0,0x1
[ 0-9a-f]+:	3040 8000 	li	v0,-32768
[ 0-9a-f]+:	3040 8001 	li	v0,-32767
[ 0-9a-f]+:	3040 ffff 	li	v0,-1
[ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
[ 0-9a-f]+:	5042 5678 	ori	v0,v0,0x5678
[ 0-9a-f]+:	0c16      	move	zero,s6
[ 0-9a-f]+:	0c56      	move	v0,s6
[ 0-9a-f]+:	0c76      	move	v1,s6
[ 0-9a-f]+:	0c96      	move	a0,s6
[ 0-9a-f]+:	0cb6      	move	a1,s6
[ 0-9a-f]+:	0cd6      	move	a2,s6
[ 0-9a-f]+:	0cf6      	move	a3,s6
[ 0-9a-f]+:	0d16      	move	t0,s6
[ 0-9a-f]+:	0d36      	move	t1,s6
[ 0-9a-f]+:	0d56      	move	t2,s6
[ 0-9a-f]+:	0fd6      	move	s8,s6
[ 0-9a-f]+:	0ff6      	move	ra,s6
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0c02      	move	zero,v0
[ 0-9a-f]+:	0c03      	move	zero,v1
[ 0-9a-f]+:	0c04      	move	zero,a0
[ 0-9a-f]+:	0c05      	move	zero,a1
[ 0-9a-f]+:	0c06      	move	zero,a2
[ 0-9a-f]+:	0c07      	move	zero,a3
[ 0-9a-f]+:	0c08      	move	zero,t0
[ 0-9a-f]+:	0c09      	move	zero,t1
[ 0-9a-f]+:	0c0a      	move	zero,t2
[ 0-9a-f]+:	0c1e      	move	zero,s8
[ 0-9a-f]+:	0c1f      	move	zero,ra
[ 0-9a-f]+:	0ec2      	move	s6,v0
[ 0-9a-f]+:	0016 1290 	move	v0,s6
[ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	test
[ 0-9a-f]+:	0002 b290 	move	s6,v0
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4043 fffe 	bgez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c43      	move	v0,v1
[ 0-9a-f]+:	0060 1190 	neg	v0,v1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4044 fffe 	bgez	a0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c44      	move	v0,a0
[ 0-9a-f]+:	0080 1190 	neg	v0,a0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0040 1190 	neg	v0,v0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0040 1190 	neg	v0,v0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	0083 1110 	add	v0,v1,a0
[ 0-9a-f]+:	03fe e910 	add	sp,s8,ra
[ 0-9a-f]+:	0082 1110 	add	v0,v0,a0
[ 0-9a-f]+:	0082 1110 	add	v0,v0,a0
[ 0-9a-f]+:	1042 0000 	addi	v0,v0,0
[ 0-9a-f]+:	1042 0001 	addi	v0,v0,1
[ 0-9a-f]+:	1042 7fff 	addi	v0,v0,32767
[ 0-9a-f]+:	1042 8000 	addi	v0,v0,-32768
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 1110 	add	v0,v0,at
[ 0-9a-f]+:	1064 8000 	addi	v1,a0,-32768
[ 0-9a-f]+:	1064 0000 	addi	v1,a0,0
[ 0-9a-f]+:	1064 7fff 	addi	v1,a0,32767
[ 0-9a-f]+:	1064 ffff 	addi	v1,a0,-1
[ 0-9a-f]+:	1063 ffff 	addi	v1,v1,-1
[ 0-9a-f]+:	1063 ffff 	addi	v1,v1,-1
[ 0-9a-f]+:	4c10      	addiu	zero,zero,-8
[ 0-9a-f]+:	4c50      	addiu	v0,v0,-8
[ 0-9a-f]+:	4c70      	addiu	v1,v1,-8
[ 0-9a-f]+:	4c90      	addiu	a0,a0,-8
[ 0-9a-f]+:	4cb0      	addiu	a1,a1,-8
[ 0-9a-f]+:	4cd0      	addiu	a2,a2,-8
[ 0-9a-f]+:	4cf0      	addiu	a3,a3,-8
[ 0-9a-f]+:	4d10      	addiu	t0,t0,-8
[ 0-9a-f]+:	4d30      	addiu	t1,t1,-8
[ 0-9a-f]+:	4d50      	addiu	t2,t2,-8
[ 0-9a-f]+:	4fd0      	addiu	s8,s8,-8
[ 0-9a-f]+:	4ff0      	addiu	ra,ra,-8
[ 0-9a-f]+:	4ff2      	addiu	ra,ra,-7
[ 0-9a-f]+:	4fe0      	addiu	ra,ra,0
[ 0-9a-f]+:	4fe2      	addiu	ra,ra,1
[ 0-9a-f]+:	4fec      	addiu	ra,ra,6
[ 0-9a-f]+:	4fee      	addiu	ra,ra,7
[ 0-9a-f]+:	33ff 0008 	addiu	ra,ra,8
[ 0-9a-f]+:	4ffd      	addiu	sp,sp,-1032
[ 0-9a-f]+:	4fff      	addiu	sp,sp,-1028
[ 0-9a-f]+:	4e01      	addiu	sp,sp,-1024
[ 0-9a-f]+:	4dff      	addiu	sp,sp,1020
[ 0-9a-f]+:	4c01      	addiu	sp,sp,1024
[ 0-9a-f]+:	4c03      	addiu	sp,sp,1028
[ 0-9a-f]+:	4c03      	addiu	sp,sp,1028
[ 0-9a-f]+:	33bd 0408 	addiu	sp,sp,1032
[ 0-9a-f]+:	6d2e      	addiu	v0,v0,-1
[ 0-9a-f]+:	6d3e      	addiu	v0,v1,-1
[ 0-9a-f]+:	6d4e      	addiu	v0,a0,-1
[ 0-9a-f]+:	6d5e      	addiu	v0,a1,-1
[ 0-9a-f]+:	6d6e      	addiu	v0,a2,-1
[ 0-9a-f]+:	6d7e      	addiu	v0,a3,-1
[ 0-9a-f]+:	6d0e      	addiu	v0,s0,-1
[ 0-9a-f]+:	6d1e      	addiu	v0,s1,-1
[ 0-9a-f]+:	6d10      	addiu	v0,s1,1
[ 0-9a-f]+:	6d12      	addiu	v0,s1,4
[ 0-9a-f]+:	6d14      	addiu	v0,s1,8
[ 0-9a-f]+:	6d16      	addiu	v0,s1,12
[ 0-9a-f]+:	6d18      	addiu	v0,s1,16
[ 0-9a-f]+:	6d1a      	addiu	v0,s1,20
[ 0-9a-f]+:	6d1c      	addiu	v0,s1,24
[ 0-9a-f]+:	6d9c      	addiu	v1,s1,24
[ 0-9a-f]+:	6e1c      	addiu	a0,s1,24
[ 0-9a-f]+:	6e9c      	addiu	a1,s1,24
[ 0-9a-f]+:	6f1c      	addiu	a2,s1,24
[ 0-9a-f]+:	6f9c      	addiu	a3,s1,24
[ 0-9a-f]+:	6c1c      	addiu	s0,s1,24
[ 0-9a-f]+:	6c9c      	addiu	s1,s1,24
[ 0-9a-f]+:	0c5d      	move	v0,sp
[ 0-9a-f]+:	6d03      	addiu	v0,sp,4
[ 0-9a-f]+:	6d7d      	addiu	v0,sp,248
[ 0-9a-f]+:	6d7f      	addiu	v0,sp,252
[ 0-9a-f]+:	305d 0100 	addiu	v0,sp,256
[ 0-9a-f]+:	6d7f      	addiu	v0,sp,252
[ 0-9a-f]+:	6dff      	addiu	v1,sp,252
[ 0-9a-f]+:	6e7f      	addiu	a0,sp,252
[ 0-9a-f]+:	6eff      	addiu	a1,sp,252
[ 0-9a-f]+:	6f7f      	addiu	a2,sp,252
[ 0-9a-f]+:	6fff      	addiu	a3,sp,252
[ 0-9a-f]+:	6c7f      	addiu	s0,sp,252
[ 0-9a-f]+:	6cff      	addiu	s1,sp,252
[ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	3064 7fff 	addiu	v1,a0,32767
[ 0-9a-f]+:	3064 ffff 	addiu	v1,a0,-1
[ 0-9a-f]+:	3063 ffff 	addiu	v1,v1,-1
[ 0-9a-f]+:	3063 ffff 	addiu	v1,v1,-1
[ 0-9a-f]+:	0c56      	move	v0,s6
[ 0-9a-f]+:	0ec2      	move	s6,v0
[ 0-9a-f]+:	0c56      	move	v0,s6
[ 0-9a-f]+:	0ec2      	move	s6,v0
[ 0-9a-f]+:	0526      	addu	v0,v1,v0
[ 0-9a-f]+:	0536      	addu	v0,v1,v1
[ 0-9a-f]+:	0546      	addu	v0,v1,a0
[ 0-9a-f]+:	0556      	addu	v0,v1,a1
[ 0-9a-f]+:	0566      	addu	v0,v1,a2
[ 0-9a-f]+:	0576      	addu	v0,v1,a3
[ 0-9a-f]+:	0506      	addu	v0,v1,s0
[ 0-9a-f]+:	0516      	addu	v0,v1,s1
[ 0-9a-f]+:	0514      	addu	v0,v0,s1
[ 0-9a-f]+:	0516      	addu	v0,v1,s1
[ 0-9a-f]+:	0518      	addu	v0,a0,s1
[ 0-9a-f]+:	051a      	addu	v0,a1,s1
[ 0-9a-f]+:	051c      	addu	v0,a2,s1
[ 0-9a-f]+:	051e      	addu	v0,a3,s1
[ 0-9a-f]+:	0510      	addu	v0,s0,s1
[ 0-9a-f]+:	0512      	addu	v0,s1,s1
[ 0-9a-f]+:	0514      	addu	v0,v0,s1
[ 0-9a-f]+:	0594      	addu	v1,v0,s1
[ 0-9a-f]+:	0614      	addu	a0,v0,s1
[ 0-9a-f]+:	0694      	addu	a1,v0,s1
[ 0-9a-f]+:	0714      	addu	a2,v0,s1
[ 0-9a-f]+:	0794      	addu	a3,v0,s1
[ 0-9a-f]+:	0414      	addu	s0,v0,s1
[ 0-9a-f]+:	0494      	addu	s1,v0,s1
[ 0-9a-f]+:	07ae      	addu	a3,a3,v0
[ 0-9a-f]+:	07ae      	addu	a3,a3,v0
[ 0-9a-f]+:	07f4      	addu	a3,v0,a3
[ 0-9a-f]+:	03fe e950 	addu	sp,s8,ra
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 0-9a-f]+:	3042 0001 	addiu	v0,v0,1
[ 0-9a-f]+:	3042 7fff 	addiu	v0,v0,32767
[ 0-9a-f]+:	3042 8000 	addiu	v0,v0,-32768
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 1150 	addu	v0,v0,at
[ 0-9a-f]+:	4492      	and	v0,v0,v0
[ 0-9a-f]+:	4493      	and	v0,v0,v1
[ 0-9a-f]+:	4494      	and	v0,v0,a0
[ 0-9a-f]+:	4495      	and	v0,v0,a1
[ 0-9a-f]+:	4496      	and	v0,v0,a2
[ 0-9a-f]+:	4497      	and	v0,v0,a3
[ 0-9a-f]+:	4490      	and	v0,v0,s0
[ 0-9a-f]+:	4491      	and	v0,v0,s1
[ 0-9a-f]+:	449a      	and	v1,v1,v0
[ 0-9a-f]+:	44a2      	and	a0,a0,v0
[ 0-9a-f]+:	44aa      	and	a1,a1,v0
[ 0-9a-f]+:	44b2      	and	a2,a2,v0
[ 0-9a-f]+:	44ba      	and	a3,a3,v0
[ 0-9a-f]+:	4482      	and	s0,s0,v0
[ 0-9a-f]+:	448a      	and	s1,s1,v0
[ 0-9a-f]+:	4493      	and	v0,v0,v1
[ 0-9a-f]+:	4493      	and	v0,v0,v1
[ 0-9a-f]+:	4493      	and	v0,v0,v1
[ 0-9a-f]+:	0062 1250 	and	v0,v0,v1
[ 0-9a-f]+:	2d21      	andi	v0,v0,0x1
[ 0-9a-f]+:	2d22      	andi	v0,v0,0x2
[ 0-9a-f]+:	2d23      	andi	v0,v0,0x3
[ 0-9a-f]+:	2d24      	andi	v0,v0,0x4
[ 0-9a-f]+:	2d25      	andi	v0,v0,0x7
[ 0-9a-f]+:	2d26      	andi	v0,v0,0x8
[ 0-9a-f]+:	2d27      	andi	v0,v0,0xf
[ 0-9a-f]+:	2d28      	andi	v0,v0,0x10
[ 0-9a-f]+:	2d29      	andi	v0,v0,0x1f
[ 0-9a-f]+:	2d2a      	andi	v0,v0,0x20
[ 0-9a-f]+:	2d2b      	andi	v0,v0,0x3f
[ 0-9a-f]+:	2d2c      	andi	v0,v0,0x40
[ 0-9a-f]+:	2d20      	andi	v0,v0,0x80
[ 0-9a-f]+:	2d2d      	andi	v0,v0,0xff
[ 0-9a-f]+:	2d2e      	andi	v0,v0,0x8000
[ 0-9a-f]+:	2d2f      	andi	v0,v0,0xffff
[ 0-9a-f]+:	2d3f      	andi	v0,v1,0xffff
[ 0-9a-f]+:	2d4f      	andi	v0,a0,0xffff
[ 0-9a-f]+:	2d5f      	andi	v0,a1,0xffff
[ 0-9a-f]+:	2d6f      	andi	v0,a2,0xffff
[ 0-9a-f]+:	2d7f      	andi	v0,a3,0xffff
[ 0-9a-f]+:	2d0f      	andi	v0,s0,0xffff
[ 0-9a-f]+:	2d1f      	andi	v0,s1,0xffff
[ 0-9a-f]+:	2d9f      	andi	v1,s1,0xffff
[ 0-9a-f]+:	2e1f      	andi	a0,s1,0xffff
[ 0-9a-f]+:	2e9f      	andi	a1,s1,0xffff
[ 0-9a-f]+:	2f1f      	andi	a2,s1,0xffff
[ 0-9a-f]+:	2f9f      	andi	a3,s1,0xffff
[ 0-9a-f]+:	2c1f      	andi	s0,s1,0xffff
[ 0-9a-f]+:	2c9f      	andi	s1,s1,0xffff
[ 0-9a-f]+:	2fff      	andi	a3,a3,0xffff
[ 0-9a-f]+:	2fff      	andi	a3,a3,0xffff
[ 0-9a-f]+:	d0e7 ffff 	andi	a3,a3,0xffff
[ 0-9a-f]+:	0083 1250 	and	v0,v1,a0
[ 0-9a-f]+:	0082 1250 	and	v0,v0,a0
[ 0-9a-f]+:	0082 1250 	and	v0,v0,a0
[ 0-9a-f]+:	d043 0000 	andi	v0,v1,0x0
[ 0-9a-f]+:	d043 ffff 	andi	v0,v1,0xffff
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1250 	and	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	4280 fffe 	bc2f	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0023 1250 	and	v0,v1,at
[ 0-9a-f]+:	4280 fffe 	bc2f	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4284 fffe 	bc2f	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4288 fffe 	bc2f	\$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	428c fffe 	bc2f	\$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4290 fffe 	bc2f	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4294 fffe 	bc2f	\$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4298 fffe 	bc2f	\$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	429c fffe 	bc2f	\$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42a0 fffe 	bc2t	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42a0 fffe 	bc2t	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42a4 fffe 	bc2t	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42a8 fffe 	bc2t	\$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42ac fffe 	bc2t	\$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42b0 fffe 	bc2t	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42b4 fffe 	bc2t	\$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42b8 fffe 	bc2t	\$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42bc fffe 	bc2t	\$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	42a4 fffe 	bc2t	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4288 fffe 	bc2f	\$cc2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	428c fffe 	bc2f	\$cc3,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	42b0 fffe 	bc2t	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0

[0-9a-f]+ <test2>:
[ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9410 fffe 	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9411 fffe 	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	40f1 fffe 	beqzc	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	9410 fffe 	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	3020 000a 	li	at,10
[ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test2\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 000a 	li	at,10
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 000a 	li	at,10
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 000a 	li	at,10
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 000a 	li	at,10
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9630 fffe 	beq	s0,s1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9411 fffe 	beqz	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	b411 fffe 	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	b411 fffe 	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	0c00      	nop

[0-9a-f]+ <test3>:
[ 0-9a-f]+:	40b1 fffe 	bnezc	s1,[0-9a-f]+ <test3>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
[ 0-9a-f]+:	4680      	break
[ 0-9a-f]+:	4680      	break
[ 0-9a-f]+:	4681      	break	0x1
[ 0-9a-f]+:	4682      	break	0x2
[ 0-9a-f]+:	4683      	break	0x3
[ 0-9a-f]+:	4684      	break	0x4
[ 0-9a-f]+:	4685      	break	0x5
[ 0-9a-f]+:	4686      	break	0x6
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	4688      	break	0x8
[ 0-9a-f]+:	4689      	break	0x9
[ 0-9a-f]+:	468a      	break	0xa
[ 0-9a-f]+:	468b      	break	0xb
[ 0-9a-f]+:	468c      	break	0xc
[ 0-9a-f]+:	468d      	break	0xd
[ 0-9a-f]+:	468e      	break	0xe
[ 0-9a-f]+:	468f      	break	0xf
[ 0-9a-f]+:	003f 0007 	break	0x3f
[ 0-9a-f]+:	0040 0007 	break	0x40
[ 0-9a-f]+:	03ff 0007 	break	0x3ff
[ 0-9a-f]+:	03ff ffc7 	break	0x3ff,0x3ff
[ 0-9a-f]+:	0000 0007 	break
[ 0-9a-f]+:	0000 0007 	break
[ 0-9a-f]+:	0001 0007 	break	0x1
[ 0-9a-f]+:	0002 0007 	break	0x2
[ 0-9a-f]+:	000f 0007 	break	0xf
[ 0-9a-f]+:	003f 0007 	break	0x3f
[ 0-9a-f]+:	0040 0007 	break	0x40
[ 0-9a-f]+:	03ff 0007 	break	0x3ff
[ 0-9a-f]+:	03ff ffc7 	break	0x3ff,0x3ff
[ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
[ 0-9a-f]+:	2000 6800 	cache	0x0,-2048\(zero\)
[ 0-9a-f]+:	2000 67ff 	cache	0x0,2047\(zero\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
[ 0-9a-f]+:	2002 6000 	cache	0x0,0\(v0\)
[ 0-9a-f]+:	2002 6800 	cache	0x0,-2048\(v0\)
[ 0-9a-f]+:	2002 67ff 	cache	0x0,2047\(v0\)
[ 0-9a-f]+:	3022 f7ff 	addiu	at,v0,-2049
[ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
[ 0-9a-f]+:	3022 0800 	addiu	at,v0,2048
[ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
[ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
[ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
[ 0-9a-f]+:	2020 6000 	cache	0x1,0\(zero\)
[ 0-9a-f]+:	2040 6000 	cache	0x2,0\(zero\)
[ 0-9a-f]+:	2060 6000 	cache	0x3,0\(zero\)
[ 0-9a-f]+:	2080 6000 	cache	0x4,0\(zero\)
[ 0-9a-f]+:	20a0 6000 	cache	0x5,0\(zero\)
[ 0-9a-f]+:	20c0 6000 	cache	0x6,0\(zero\)
[ 0-9a-f]+:	23e0 6000 	cache	0x1f,0\(zero\)
[ 0-9a-f]+:	23e0 67ff 	cache	0x1f,2047\(zero\)
[ 0-9a-f]+:	23e0 6800 	cache	0x1f,-2048\(zero\)
[ 0-9a-f]+:	2000 67ff 	cache	0x0,2047\(zero\)
[ 0-9a-f]+:	2000 6800 	cache	0x0,-2048\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	3023 0800 	addiu	at,v1,2048
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	3023 f7ff 	addiu	at,v1,-2049
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
[ 0-9a-f]+:	23e3 6fff 	cache	0x1f,-1\(v1\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	23e1 6fff 	cache	0x1f,-1\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
[ 0-9a-f]+:	23e0 6fff 	cache	0x1f,-1\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	23e1 6fff 	cache	0x1f,-1\(at\)
[ 0-9a-f]+:	0043 4b3c 	clo	v0,v1
[ 0-9a-f]+:	0062 4b3c 	clo	v1,v0
[ 0-9a-f]+:	0043 5b3c 	clz	v0,v1
[ 0-9a-f]+:	0062 5b3c 	clz	v1,v0
[ 0-9a-f]+:	0000 e37c 	deret
[ 0-9a-f]+:	0000 477c 	di
[ 0-9a-f]+:	0000 477c 	di
[ 0-9a-f]+:	0002 477c 	di	v0
[ 0-9a-f]+:	0003 477c 	di	v1
[ 0-9a-f]+:	001e 477c 	di	s8
[ 0-9a-f]+:	001f 477c 	di	ra
[ 0-9a-f]+:	0062 ab3c 	div	zero,v0,v1
[ 0-9a-f]+:	03fe ab3c 	div	zero,s8,ra
[ 0-9a-f]+:	0060 ab3c 	div	zero,zero,v1
[ 0-9a-f]+:	03e0 ab3c 	div	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <test3\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0083 ab3c 	div	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	0080 1990 	neg	v1,a0
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	0024 ab3c 	div	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	0062 bb3c 	divu	zero,v0,v1
[ 0-9a-f]+:	03fe bb3c 	divu	zero,s8,ra
[ 0-9a-f]+:	0060 bb3c 	divu	zero,zero,v1
[ 0-9a-f]+:	03e0 bb3c 	divu	zero,zero,ra
[ 0-9a-f]+:	b400 fffe 	bnez	zero,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0003 bb3c 	divu	zero,v1,zero
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0083 bb3c 	divu	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	0000 577c 	ei
[ 0-9a-f]+:	0000 577c 	ei
[ 0-9a-f]+:	0002 577c 	ei	v0
[ 0-9a-f]+:	0003 577c 	ei	v1
[ 0-9a-f]+:	001e 577c 	ei	s8
[ 0-9a-f]+:	001f 577c 	ei	ra
[ 0-9a-f]+:	0000 f37c 	eret
[ 0-9a-f]+:	0043 716c 	ext	v0,v1,0x5,0xf
[ 0-9a-f]+:	0043 f82c 	ext	v0,v1,0x0,0x20
[ 0-9a-f]+:	0043 07ec 	ext	v0,v1,0x1f,0x1
[ 0-9a-f]+:	03fe 07ec 	ext	ra,s8,0x1f,0x1
[ 0-9a-f]+:	0043 994c 	ins	v0,v1,0x5,0xf
[ 0-9a-f]+:	0043 f80c 	ins	v0,v1,0x0,0x20
[ 0-9a-f]+:	0043 ffcc 	ins	v0,v1,0x1f,0x1
[ 0-9a-f]+:	4580      	jr	zero
[ 0-9a-f]+:	03fe ffcc 	ins	ra,s8,0x1f,0x1
[ 0-9a-f]+:	4582      	jr	v0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4583      	jr	v1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4584      	jr	a0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4585      	jr	a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4586      	jr	a2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4587      	jr	a3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4588      	jr	t0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	459e      	jr	s8
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	459f      	jr	ra
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0000 0f3c 	jr	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0002 0f3c 	jr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0003 0f3c 	jr	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0004 0f3c 	jr	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0005 0f3c 	jr	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0006 0f3c 	jr	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0007 0f3c 	jr	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0008 0f3c 	jr	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	001e 0f3c 	jr	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	001f 0f3c 	jr	ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45a0      	jrc	zero
[ 0-9a-f]+:	45a2      	jrc	v0
[ 0-9a-f]+:	45a3      	jrc	v1
[ 0-9a-f]+:	45a4      	jrc	a0
[ 0-9a-f]+:	45a5      	jrc	a1
[ 0-9a-f]+:	45a6      	jrc	a2
[ 0-9a-f]+:	45a7      	jrc	a3
[ 0-9a-f]+:	45a8      	jrc	t0
[ 0-9a-f]+:	45be      	jrc	s8
[ 0-9a-f]+:	45bf      	jrc	ra
[ 0-9a-f]+:	0000 1f3c 	jr\.hb	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0002 1f3c 	jr\.hb	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0003 1f3c 	jr\.hb	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0004 1f3c 	jr\.hb	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0005 1f3c 	jr\.hb	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0006 1f3c 	jr\.hb	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0007 1f3c 	jr\.hb	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0008 1f3c 	jr\.hb	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	001e 1f3c 	jr\.hb	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	001f 1f3c 	jr\.hb	ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4580      	jr	zero
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4582      	jr	v0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4583      	jr	v1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4584      	jr	a0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4585      	jr	a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4586      	jr	a2
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4587      	jr	a3
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4588      	jr	t0
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	459e      	jr	s8
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	459f      	jr	ra
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	45c0      	jalr	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c2      	jalr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c3      	jalr	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c4      	jalr	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c5      	jalr	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c6      	jalr	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c7      	jalr	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c8      	jalr	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45de      	jalr	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e0 0f3c 	jalr	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e2 0f3c 	jalr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e3 0f3c 	jalr	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e4 0f3c 	jalr	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e5 0f3c 	jalr	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e6 0f3c 	jalr	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e7 0f3c 	jalr	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e8 0f3c 	jalr	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03fe 0f3c 	jalr	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c0      	jalr	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c2      	jalr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c3      	jalr	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c4      	jalr	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c5      	jalr	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c6      	jalr	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c7      	jalr	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c8      	jalr	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45de      	jalr	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03df 0f3c 	jalr	s8,ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0040 0f3c 	jalr	v0,zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0062 0f3c 	jalr	v1,v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0043 0f3c 	jalr	v0,v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0044 0f3c 	jalr	v0,a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0045 0f3c 	jalr	v0,a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0046 0f3c 	jalr	v0,a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0047 0f3c 	jalr	v0,a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0048 0f3c 	jalr	v0,t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	005e 0f3c 	jalr	v0,s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	005f 0f3c 	jalr	v0,ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e0 1f3c 	jalr\.hb	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e3 1f3c 	jalr\.hb	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e4 1f3c 	jalr\.hb	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e5 1f3c 	jalr\.hb	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e6 1f3c 	jalr\.hb	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e7 1f3c 	jalr\.hb	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e8 1f3c 	jalr\.hb	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03fe 1f3c 	jalr\.hb	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e0 1f3c 	jalr\.hb	zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e3 1f3c 	jalr\.hb	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e4 1f3c 	jalr\.hb	a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e5 1f3c 	jalr\.hb	a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e6 1f3c 	jalr\.hb	a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e7 1f3c 	jalr\.hb	a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e8 1f3c 	jalr\.hb	t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03fe 1f3c 	jalr\.hb	s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03df 1f3c 	jalr\.hb	s8,ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0040 1f3c 	jalr\.hb	v0,zero
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0062 1f3c 	jalr\.hb	v1,v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0043 1f3c 	jalr\.hb	v0,v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0044 1f3c 	jalr\.hb	v0,a0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0045 1f3c 	jalr\.hb	v0,a1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0046 1f3c 	jalr\.hb	v0,a2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0047 1f3c 	jalr\.hb	v0,a3
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0048 1f3c 	jalr\.hb	v0,t0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	005e 1f3c 	jalr\.hb	v0,s8
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	005f 1f3c 	jalr\.hb	v0,ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0043 0f3c 	jalr	v0,v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03df 0f3c 	jalr	s8,ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45c3      	jalr	v1
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	45df      	jalr	ra
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test2
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test4
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
[ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
[ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	1c60 0000 	lb	v1,0\(zero\)
[ 0-9a-f]+:	1c60 0004 	lb	v1,4\(zero\)
[ 0-9a-f]+:	1c60 0000 	lb	v1,0\(zero\)
[ 0-9a-f]+:	1c60 0004 	lb	v1,4\(zero\)
[ 0-9a-f]+:	1c60 7fff 	lb	v1,32767\(zero\)
[ 0-9a-f]+:	1c60 8000 	lb	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	1c63 ffff 	lb	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
[ 0-9a-f]+:	1c60 8000 	lb	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	1c63 0001 	lb	v1,1\(v1\)
[ 0-9a-f]+:	1c60 8001 	lb	v1,-32767\(zero\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
[ 0-9a-f]+:	1c60 ffff 	lb	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	1c63 5678 	lb	v1,22136\(v1\)
[ 0-9a-f]+:	1c64 0000 	lb	v1,0\(a0\)
[ 0-9a-f]+:	1c64 0000 	lb	v1,0\(a0\)
[ 0-9a-f]+:	1c64 0004 	lb	v1,4\(a0\)
[ 0-9a-f]+:	1c64 7fff 	lb	v1,32767\(a0\)
[ 0-9a-f]+:	1c64 8000 	lb	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1c63 ffff 	lb	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
[ 0-9a-f]+:	1c64 8000 	lb	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1c63 0001 	lb	v1,1\(v1\)
[ 0-9a-f]+:	1c64 8001 	lb	v1,-32767\(a0\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
[ 0-9a-f]+:	1c64 ffff 	lb	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1c63 5678 	lb	v1,22136\(v1\)
[ 0-9a-f]+:	093f      	lbu	v0,-1\(v1\)
[ 0-9a-f]+:	0930      	lbu	v0,0\(v1\)
[ 0-9a-f]+:	0930      	lbu	v0,0\(v1\)
[ 0-9a-f]+:	0931      	lbu	v0,1\(v1\)
[ 0-9a-f]+:	0932      	lbu	v0,2\(v1\)
[ 0-9a-f]+:	0933      	lbu	v0,3\(v1\)
[ 0-9a-f]+:	0934      	lbu	v0,4\(v1\)
[ 0-9a-f]+:	0935      	lbu	v0,5\(v1\)
[ 0-9a-f]+:	0936      	lbu	v0,6\(v1\)
[ 0-9a-f]+:	0937      	lbu	v0,7\(v1\)
[ 0-9a-f]+:	0938      	lbu	v0,8\(v1\)
[ 0-9a-f]+:	0939      	lbu	v0,9\(v1\)
[ 0-9a-f]+:	093a      	lbu	v0,10\(v1\)
[ 0-9a-f]+:	093b      	lbu	v0,11\(v1\)
[ 0-9a-f]+:	093c      	lbu	v0,12\(v1\)
[ 0-9a-f]+:	093d      	lbu	v0,13\(v1\)
[ 0-9a-f]+:	093e      	lbu	v0,14\(v1\)
[ 0-9a-f]+:	092e      	lbu	v0,14\(v0\)
[ 0-9a-f]+:	094e      	lbu	v0,14\(a0\)
[ 0-9a-f]+:	095e      	lbu	v0,14\(a1\)
[ 0-9a-f]+:	096e      	lbu	v0,14\(a2\)
[ 0-9a-f]+:	097e      	lbu	v0,14\(a3\)
[ 0-9a-f]+:	090e      	lbu	v0,14\(s0\)
[ 0-9a-f]+:	091e      	lbu	v0,14\(s1\)
[ 0-9a-f]+:	099e      	lbu	v1,14\(s1\)
[ 0-9a-f]+:	0a1e      	lbu	a0,14\(s1\)
[ 0-9a-f]+:	0a9e      	lbu	a1,14\(s1\)
[ 0-9a-f]+:	0b1e      	lbu	a2,14\(s1\)
[ 0-9a-f]+:	0b9e      	lbu	a3,14\(s1\)
[ 0-9a-f]+:	081e      	lbu	s0,14\(s1\)
[ 0-9a-f]+:	089e      	lbu	s1,14\(s1\)
[ 0-9a-f]+:	1460 0000 	lbu	v1,0\(zero\)
[ 0-9a-f]+:	1460 0004 	lbu	v1,4\(zero\)
[ 0-9a-f]+:	1460 0000 	lbu	v1,0\(zero\)
[ 0-9a-f]+:	1460 0004 	lbu	v1,4\(zero\)
[ 0-9a-f]+:	1460 7fff 	lbu	v1,32767\(zero\)
[ 0-9a-f]+:	1460 8000 	lbu	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	1463 ffff 	lbu	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
[ 0-9a-f]+:	1460 8000 	lbu	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	1463 0001 	lbu	v1,1\(v1\)
[ 0-9a-f]+:	1460 8001 	lbu	v1,-32767\(zero\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
[ 0-9a-f]+:	1460 ffff 	lbu	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	1463 5678 	lbu	v1,22136\(v1\)
[ 0-9a-f]+:	09c0      	lbu	v1,0\(a0\)
[ 0-9a-f]+:	09c0      	lbu	v1,0\(a0\)
[ 0-9a-f]+:	09c4      	lbu	v1,4\(a0\)
[ 0-9a-f]+:	1464 7fff 	lbu	v1,32767\(a0\)
[ 0-9a-f]+:	1464 8000 	lbu	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1463 ffff 	lbu	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
[ 0-9a-f]+:	1464 8000 	lbu	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1463 0001 	lbu	v1,1\(v1\)
[ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
[ 0-9a-f]+:	1464 ffff 	lbu	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	1463 5678 	lbu	v1,22136\(v1\)
[ 0-9a-f]+:	3c60 0000 	lh	v1,0\(zero\)
[ 0-9a-f]+:	3c60 0004 	lh	v1,4\(zero\)
[ 0-9a-f]+:	3c60 0000 	lh	v1,0\(zero\)
[ 0-9a-f]+:	3c60 0004 	lh	v1,4\(zero\)
[ 0-9a-f]+:	3c60 7fff 	lh	v1,32767\(zero\)
[ 0-9a-f]+:	3c60 8000 	lh	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	3c63 ffff 	lh	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
[ 0-9a-f]+:	3c60 8000 	lh	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	3c63 0001 	lh	v1,1\(v1\)
[ 0-9a-f]+:	3c60 8001 	lh	v1,-32767\(zero\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
[ 0-9a-f]+:	3c60 ffff 	lh	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	3c63 5678 	lh	v1,22136\(v1\)
[ 0-9a-f]+:	3c64 0000 	lh	v1,0\(a0\)
[ 0-9a-f]+:	3c64 0000 	lh	v1,0\(a0\)
[ 0-9a-f]+:	3c64 0004 	lh	v1,4\(a0\)
[ 0-9a-f]+:	3c64 7fff 	lh	v1,32767\(a0\)
[ 0-9a-f]+:	3c64 8000 	lh	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3c63 ffff 	lh	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
[ 0-9a-f]+:	3c64 8000 	lh	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3c63 0001 	lh	v1,1\(v1\)
[ 0-9a-f]+:	3c64 8001 	lh	v1,-32767\(a0\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
[ 0-9a-f]+:	3c64 ffff 	lh	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3c63 5678 	lh	v1,22136\(v1\)
[ 0-9a-f]+:	2930      	lhu	v0,0\(v1\)
[ 0-9a-f]+:	2930      	lhu	v0,0\(v1\)
[ 0-9a-f]+:	2931      	lhu	v0,2\(v1\)
[ 0-9a-f]+:	2932      	lhu	v0,4\(v1\)
[ 0-9a-f]+:	2933      	lhu	v0,6\(v1\)
[ 0-9a-f]+:	2934      	lhu	v0,8\(v1\)
[ 0-9a-f]+:	2935      	lhu	v0,10\(v1\)
[ 0-9a-f]+:	2936      	lhu	v0,12\(v1\)
[ 0-9a-f]+:	2937      	lhu	v0,14\(v1\)
[ 0-9a-f]+:	2938      	lhu	v0,16\(v1\)
[ 0-9a-f]+:	2939      	lhu	v0,18\(v1\)
[ 0-9a-f]+:	293a      	lhu	v0,20\(v1\)
[ 0-9a-f]+:	293b      	lhu	v0,22\(v1\)
[ 0-9a-f]+:	293c      	lhu	v0,24\(v1\)
[ 0-9a-f]+:	293d      	lhu	v0,26\(v1\)
[ 0-9a-f]+:	293e      	lhu	v0,28\(v1\)
[ 0-9a-f]+:	293f      	lhu	v0,30\(v1\)
[ 0-9a-f]+:	294f      	lhu	v0,30\(a0\)
[ 0-9a-f]+:	295f      	lhu	v0,30\(a1\)
[ 0-9a-f]+:	296f      	lhu	v0,30\(a2\)
[ 0-9a-f]+:	297f      	lhu	v0,30\(a3\)
[ 0-9a-f]+:	292f      	lhu	v0,30\(v0\)
[ 0-9a-f]+:	290f      	lhu	v0,30\(s0\)
[ 0-9a-f]+:	291f      	lhu	v0,30\(s1\)
[ 0-9a-f]+:	299f      	lhu	v1,30\(s1\)
[ 0-9a-f]+:	2a1f      	lhu	a0,30\(s1\)
[ 0-9a-f]+:	2a9f      	lhu	a1,30\(s1\)
[ 0-9a-f]+:	2b1f      	lhu	a2,30\(s1\)
[ 0-9a-f]+:	2b9f      	lhu	a3,30\(s1\)
[ 0-9a-f]+:	281f      	lhu	s0,30\(s1\)
[ 0-9a-f]+:	289f      	lhu	s1,30\(s1\)
[ 0-9a-f]+:	3460 0000 	lhu	v1,0\(zero\)
[ 0-9a-f]+:	3460 0004 	lhu	v1,4\(zero\)
[ 0-9a-f]+:	3460 0000 	lhu	v1,0\(zero\)
[ 0-9a-f]+:	3460 0004 	lhu	v1,4\(zero\)
[ 0-9a-f]+:	3460 7fff 	lhu	v1,32767\(zero\)
[ 0-9a-f]+:	3460 8000 	lhu	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	3463 ffff 	lhu	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
[ 0-9a-f]+:	3460 8000 	lhu	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	3463 0001 	lhu	v1,1\(v1\)
[ 0-9a-f]+:	3460 8001 	lhu	v1,-32767\(zero\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
[ 0-9a-f]+:	3460 ffff 	lhu	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	3463 5678 	lhu	v1,22136\(v1\)
[ 0-9a-f]+:	29c0      	lhu	v1,0\(a0\)
[ 0-9a-f]+:	29c0      	lhu	v1,0\(a0\)
[ 0-9a-f]+:	29c2      	lhu	v1,4\(a0\)
[ 0-9a-f]+:	3464 7fff 	lhu	v1,32767\(a0\)
[ 0-9a-f]+:	3464 8000 	lhu	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3463 ffff 	lhu	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
[ 0-9a-f]+:	3464 8000 	lhu	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3463 0001 	lhu	v1,1\(v1\)
[ 0-9a-f]+:	3464 8001 	lhu	v1,-32767\(a0\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
[ 0-9a-f]+:	3464 ffff 	lhu	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	3463 5678 	lhu	v1,22136\(v1\)
[ 0-9a-f]+:	6060 3000 	ll	v1,0\(zero\)
[ 0-9a-f]+:	6060 3000 	ll	v1,0\(zero\)
[ 0-9a-f]+:	6060 3004 	ll	v1,4\(zero\)
[ 0-9a-f]+:	6060 3004 	ll	v1,4\(zero\)
[ 0-9a-f]+:	3060 7fff 	li	v1,32767
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	3060 8000 	li	v1,-32768
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	6063 3fff 	ll	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	3060 8000 	li	v1,-32768
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	6063 3001 	ll	v1,1\(v1\)
[ 0-9a-f]+:	3060 8001 	li	v1,-32767
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	6060 3fff 	ll	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
[ 0-9a-f]+:	6063 3678 	ll	v1,1656\(v1\)
[ 0-9a-f]+:	6064 3000 	ll	v1,0\(a0\)
[ 0-9a-f]+:	6064 3000 	ll	v1,0\(a0\)
[ 0-9a-f]+:	6064 3004 	ll	v1,4\(a0\)
[ 0-9a-f]+:	3064 7fff 	addiu	v1,a0,32767
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	6063 3fff 	ll	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	6063 3001 	ll	v1,1\(v1\)
[ 0-9a-f]+:	3064 8001 	addiu	v1,a0,-32767
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
[ 0-9a-f]+:	6064 3fff 	ll	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	6063 3678 	ll	v1,1656\(v1\)
[ 0-9a-f]+:	41a3 0000 	lui	v1,0x0
[ 0-9a-f]+:	41a3 7fff 	lui	v1,0x7fff
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	6940      	lw	v0,0\(a0\)
[ 0-9a-f]+:	6940      	lw	v0,0\(a0\)
[ 0-9a-f]+:	6941      	lw	v0,4\(a0\)
[ 0-9a-f]+:	6942      	lw	v0,8\(a0\)
[ 0-9a-f]+:	6943      	lw	v0,12\(a0\)
[ 0-9a-f]+:	6944      	lw	v0,16\(a0\)
[ 0-9a-f]+:	6945      	lw	v0,20\(a0\)
[ 0-9a-f]+:	6946      	lw	v0,24\(a0\)
[ 0-9a-f]+:	6947      	lw	v0,28\(a0\)
[ 0-9a-f]+:	6948      	lw	v0,32\(a0\)
[ 0-9a-f]+:	6949      	lw	v0,36\(a0\)
[ 0-9a-f]+:	694a      	lw	v0,40\(a0\)
[ 0-9a-f]+:	694b      	lw	v0,44\(a0\)
[ 0-9a-f]+:	694c      	lw	v0,48\(a0\)
[ 0-9a-f]+:	694d      	lw	v0,52\(a0\)
[ 0-9a-f]+:	694e      	lw	v0,56\(a0\)
[ 0-9a-f]+:	694f      	lw	v0,60\(a0\)
[ 0-9a-f]+:	695f      	lw	v0,60\(a1\)
[ 0-9a-f]+:	696f      	lw	v0,60\(a2\)
[ 0-9a-f]+:	697f      	lw	v0,60\(a3\)
[ 0-9a-f]+:	692f      	lw	v0,60\(v0\)
[ 0-9a-f]+:	693f      	lw	v0,60\(v1\)
[ 0-9a-f]+:	690f      	lw	v0,60\(s0\)
[ 0-9a-f]+:	691f      	lw	v0,60\(s1\)
[ 0-9a-f]+:	699f      	lw	v1,60\(s1\)
[ 0-9a-f]+:	6a1f      	lw	a0,60\(s1\)
[ 0-9a-f]+:	6a9f      	lw	a1,60\(s1\)
[ 0-9a-f]+:	6b1f      	lw	a2,60\(s1\)
[ 0-9a-f]+:	6b9f      	lw	a3,60\(s1\)
[ 0-9a-f]+:	681f      	lw	s0,60\(s1\)
[ 0-9a-f]+:	689f      	lw	s1,60\(s1\)
[ 0-9a-f]+:	4880      	lw	a0,0\(sp\)
[ 0-9a-f]+:	4880      	lw	a0,0\(sp\)
[ 0-9a-f]+:	4881      	lw	a0,4\(sp\)
[ 0-9a-f]+:	4882      	lw	a0,8\(sp\)
[ 0-9a-f]+:	4883      	lw	a0,12\(sp\)
[ 0-9a-f]+:	4884      	lw	a0,16\(sp\)
[ 0-9a-f]+:	4885      	lw	a0,20\(sp\)
[ 0-9a-f]+:	489f      	lw	a0,124\(sp\)
[ 0-9a-f]+:	485f      	lw	v0,124\(sp\)
[ 0-9a-f]+:	485f      	lw	v0,124\(sp\)
[ 0-9a-f]+:	487f      	lw	v1,124\(sp\)
[ 0-9a-f]+:	489f      	lw	a0,124\(sp\)
[ 0-9a-f]+:	48bf      	lw	a1,124\(sp\)
[ 0-9a-f]+:	48df      	lw	a2,124\(sp\)
[ 0-9a-f]+:	48ff      	lw	a3,124\(sp\)
[ 0-9a-f]+:	491f      	lw	t0,124\(sp\)
[ 0-9a-f]+:	493f      	lw	t1,124\(sp\)
[ 0-9a-f]+:	495f      	lw	t2,124\(sp\)
[ 0-9a-f]+:	4bdf      	lw	s8,124\(sp\)
[ 0-9a-f]+:	4bff      	lw	ra,124\(sp\)
[ 0-9a-f]+:	fc9d 01f8 	lw	a0,504\(sp\)
[ 0-9a-f]+:	fc9d 01fc 	lw	a0,508\(sp\)
[ 0-9a-f]+:	fe1d 01fc 	lw	s0,508\(sp\)
[ 0-9a-f]+:	fe3d 01fc 	lw	s1,508\(sp\)
[ 0-9a-f]+:	fe5d 01fc 	lw	s2,508\(sp\)
[ 0-9a-f]+:	fe7d 01fc 	lw	s3,508\(sp\)
[ 0-9a-f]+:	fe9d 01fc 	lw	s4,508\(sp\)
[ 0-9a-f]+:	febd 01fc 	lw	s5,508\(sp\)
[ 0-9a-f]+:	fffd 01fc 	lw	ra,508\(sp\)
[ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
[ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
[ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
[ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
[ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
[ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
[ 0-9a-f]+:	fc60 7fff 	lw	v1,32767\(zero\)
[ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	fc63 ffff 	lw	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
[ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	fc63 0001 	lw	v1,1\(v1\)
[ 0-9a-f]+:	fc60 8001 	lw	v1,-32767\(zero\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
[ 0-9a-f]+:	fc60 ffff 	lw	v1,-1\(zero\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	fc63 5678 	lw	v1,22136\(v1\)
[ 0-9a-f]+:	69c0      	lw	v1,0\(a0\)
[ 0-9a-f]+:	69c0      	lw	v1,0\(a0\)
[ 0-9a-f]+:	69c1      	lw	v1,4\(a0\)
[ 0-9a-f]+:	fc64 7fff 	lw	v1,32767\(a0\)
[ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	fc63 ffff 	lw	v1,-1\(v1\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
[ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
[ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	fc63 0001 	lw	v1,1\(v1\)
[ 0-9a-f]+:	fc64 8001 	lw	v1,-32767\(a0\)
[ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
[ 0-9a-f]+:	fc64 ffff 	lw	v1,-1\(a0\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
[ 0-9a-f]+:	fc63 5678 	lw	v1,22136\(v1\)
[ 0-9a-f]+:	450c      	lwm	s0,ra,48\(sp\)
[ 0-9a-f]+:	451c      	lwm	s0-s1,ra,48\(sp\)
[ 0-9a-f]+:	451c      	lwm	s0-s1,ra,48\(sp\)
[ 0-9a-f]+:	452c      	lwm	s0-s2,ra,48\(sp\)
[ 0-9a-f]+:	452c      	lwm	s0-s2,ra,48\(sp\)
[ 0-9a-f]+:	453c      	lwm	s0-s3,ra,48\(sp\)
[ 0-9a-f]+:	453c      	lwm	s0-s3,ra,48\(sp\)
[ 0-9a-f]+:	4500      	lwm	s0,ra,0\(sp\)
[ 0-9a-f]+:	4500      	lwm	s0,ra,0\(sp\)
[ 0-9a-f]+:	4501      	lwm	s0,ra,4\(sp\)
[ 0-9a-f]+:	4502      	lwm	s0,ra,8\(sp\)
[ 0-9a-f]+:	4503      	lwm	s0,ra,12\(sp\)
[ 0-9a-f]+:	4504      	lwm	s0,ra,16\(sp\)
[ 0-9a-f]+:	4505      	lwm	s0,ra,20\(sp\)
[ 0-9a-f]+:	4506      	lwm	s0,ra,24\(sp\)
[ 0-9a-f]+:	4507      	lwm	s0,ra,28\(sp\)
[ 0-9a-f]+:	4508      	lwm	s0,ra,32\(sp\)
[ 0-9a-f]+:	4509      	lwm	s0,ra,36\(sp\)
[ 0-9a-f]+:	450a      	lwm	s0,ra,40\(sp\)
[ 0-9a-f]+:	450b      	lwm	s0,ra,44\(sp\)
[ 0-9a-f]+:	450c      	lwm	s0,ra,48\(sp\)
[ 0-9a-f]+:	450d      	lwm	s0,ra,52\(sp\)
[ 0-9a-f]+:	450e      	lwm	s0,ra,56\(sp\)
[ 0-9a-f]+:	450f      	lwm	s0,ra,60\(sp\)
[ 0-9a-f]+:	2020 5000 	lwm	s0,0\(zero\)
[ 0-9a-f]+:	2020 5004 	lwm	s0,4\(zero\)
[ 0-9a-f]+:	2025 5000 	lwm	s0,0\(a1\)
[ 0-9a-f]+:	2025 57ff 	lwm	s0,2047\(a1\)
[ 0-9a-f]+:	2045 57ff 	lwm	s0-s1,2047\(a1\)
[ 0-9a-f]+:	2065 57ff 	lwm	s0-s2,2047\(a1\)
[ 0-9a-f]+:	2085 57ff 	lwm	s0-s3,2047\(a1\)
[ 0-9a-f]+:	20a5 57ff 	lwm	s0-s4,2047\(a1\)
[ 0-9a-f]+:	20c5 57ff 	lwm	s0-s5,2047\(a1\)
[ 0-9a-f]+:	20e5 57ff 	lwm	s0-s6,2047\(a1\)
[ 0-9a-f]+:	2105 57ff 	lwm	s0-s7,2047\(a1\)
[ 0-9a-f]+:	2125 57ff 	lwm	s0-s7,s8,2047\(a1\)
[ 0-9a-f]+:	2205 57ff 	lwm	ra,2047\(a1\)
[ 0-9a-f]+:	2225 5000 	lwm	s0,ra,0\(a1\)
[ 0-9a-f]+:	2245 5000 	lwm	s0-s1,ra,0\(a1\)
[ 0-9a-f]+:	2265 5000 	lwm	s0-s2,ra,0\(a1\)
[ 0-9a-f]+:	2285 5000 	lwm	s0-s3,ra,0\(a1\)
[ 0-9a-f]+:	22a5 5000 	lwm	s0-s4,ra,0\(a1\)
[ 0-9a-f]+:	22c5 5000 	lwm	s0-s5,ra,0\(a1\)
[ 0-9a-f]+:	22e5 5000 	lwm	s0-s6,ra,0\(a1\)
[ 0-9a-f]+:	2305 5000 	lwm	s0-s7,ra,0\(a1\)
[ 0-9a-f]+:	2325 5000 	lwm	s0-s7,s8,ra,0\(a1\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
[ 0-9a-f]+:	2020 5000 	lwm	s0,0\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	2021 5fff 	lwm	s0,-1\(at\)
[ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
[ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
[ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
[ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
[ 0-9a-f]+:	203d 5000 	lwm	s0,0\(sp\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 5fff 	lwm	s0,-1\(at\)
[ 0-9a-f]+:	2040 1000 	lwp	v0,0\(zero\)
[ 0-9a-f]+:	2040 1004 	lwp	v0,4\(zero\)
[ 0-9a-f]+:	205d 1000 	lwp	v0,0\(sp\)
[ 0-9a-f]+:	205d 1000 	lwp	v0,0\(sp\)
[ 0-9a-f]+:	2043 1800 	lwp	v0,-2048\(v1\)
[ 0-9a-f]+:	2043 17ff 	lwp	v0,2047\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
[ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	2041 1fff 	lwp	v0,-1\(at\)
[ 0-9a-f]+:	3060 8000 	li	v1,-32768
[ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
[ 0-9a-f]+:	3060 7fff 	li	v1,32767
[ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	2043 1fff 	lwp	v0,-1\(v1\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 07ff 	lwl	v1,2047\(zero\)
[ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
[ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
[ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
[ 0-9a-f]+:	6064 07ff 	lwl	v1,2047\(a0\)
[ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 07ff 	lwl	v1,2047\(zero\)
[ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
[ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
[ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
[ 0-9a-f]+:	6064 07ff 	lwl	v1,2047\(a0\)
[ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
[ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
[ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
[ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
[ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
[ 0-9a-f]+:	6060 17ff 	lwr	v1,2047\(zero\)
[ 0-9a-f]+:	6060 1800 	lwr	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	6060 1fff 	lwr	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
[ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
[ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
[ 0-9a-f]+:	6064 17ff 	lwr	v1,2047\(a0\)
[ 0-9a-f]+:	6064 1800 	lwr	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	6064 1fff 	lwr	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
[ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
[ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
[ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
[ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
[ 0-9a-f]+:	6060 17ff 	lwr	v1,2047\(zero\)
[ 0-9a-f]+:	6060 1800 	lwr	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	6060 1fff 	lwr	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
[ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
[ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
[ 0-9a-f]+:	6064 17ff 	lwr	v1,2047\(a0\)
[ 0-9a-f]+:	6064 1800 	lwr	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
[ 0-9a-f]+:	6064 1fff 	lwr	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
[ 0-9a-f]+:	0085 1918 	lwxs	v1,a0\(a1\)
[ 0-9a-f]+:	00a4 cb3c 	madd	a0,a1
[ 0-9a-f]+:	00a4 db3c 	maddu	a0,a1
[ 0-9a-f]+:	0040 00fc 	mfc0	v0,c0_index
[ 0-9a-f]+:	0041 00fc 	mfc0	v0,c0_random
[ 0-9a-f]+:	0042 00fc 	mfc0	v0,c0_entrylo0
[ 0-9a-f]+:	0043 00fc 	mfc0	v0,c0_entrylo1
[ 0-9a-f]+:	0044 00fc 	mfc0	v0,c0_context
[ 0-9a-f]+:	0045 00fc 	mfc0	v0,c0_pagemask
[ 0-9a-f]+:	0046 00fc 	mfc0	v0,c0_wired
[ 0-9a-f]+:	0047 00fc 	mfc0	v0,c0_hwrena
[ 0-9a-f]+:	0048 00fc 	mfc0	v0,c0_badvaddr
[ 0-9a-f]+:	0049 00fc 	mfc0	v0,c0_count
[ 0-9a-f]+:	004a 00fc 	mfc0	v0,c0_entryhi
[ 0-9a-f]+:	004b 00fc 	mfc0	v0,c0_compare
[ 0-9a-f]+:	004c 00fc 	mfc0	v0,c0_status
[ 0-9a-f]+:	004d 00fc 	mfc0	v0,c0_cause
[ 0-9a-f]+:	004e 00fc 	mfc0	v0,c0_epc
[ 0-9a-f]+:	004f 00fc 	mfc0	v0,c0_prid
[ 0-9a-f]+:	0050 00fc 	mfc0	v0,c0_config
[ 0-9a-f]+:	0051 00fc 	mfc0	v0,c0_lladdr
[ 0-9a-f]+:	0052 00fc 	mfc0	v0,c0_watchlo
[ 0-9a-f]+:	0053 00fc 	mfc0	v0,c0_watchhi
[ 0-9a-f]+:	0054 00fc 	mfc0	v0,c0_xcontext
[ 0-9a-f]+:	0055 00fc 	mfc0	v0,\$21
[ 0-9a-f]+:	0056 00fc 	mfc0	v0,\$22
[ 0-9a-f]+:	0057 00fc 	mfc0	v0,c0_debug
[ 0-9a-f]+:	0058 00fc 	mfc0	v0,c0_depc
[ 0-9a-f]+:	0059 00fc 	mfc0	v0,c0_perfcnt
[ 0-9a-f]+:	005a 00fc 	mfc0	v0,c0_errctl
[ 0-9a-f]+:	005b 00fc 	mfc0	v0,c0_cacheerr
[ 0-9a-f]+:	005c 00fc 	mfc0	v0,c0_taglo
[ 0-9a-f]+:	005d 00fc 	mfc0	v0,c0_taghi
[ 0-9a-f]+:	005e 00fc 	mfc0	v0,c0_errorepc
[ 0-9a-f]+:	005f 00fc 	mfc0	v0,c0_desave
[ 0-9a-f]+:	0040 00fc 	mfc0	v0,c0_index
[ 0-9a-f]+:	0040 08fc 	mfc0	v0,c0_mvpcontrol
[ 0-9a-f]+:	0040 10fc 	mfc0	v0,c0_mvpconf0
[ 0-9a-f]+:	0040 18fc 	mfc0	v0,c0_mvpconf1
[ 0-9a-f]+:	0040 20fc 	mfc0	v0,\$0,4
[ 0-9a-f]+:	0040 28fc 	mfc0	v0,\$0,5
[ 0-9a-f]+:	0040 30fc 	mfc0	v0,\$0,6
[ 0-9a-f]+:	0040 38fc 	mfc0	v0,\$0,7
[ 0-9a-f]+:	0041 00fc 	mfc0	v0,c0_random
[ 0-9a-f]+:	0041 08fc 	mfc0	v0,c0_vpecontrol
[ 0-9a-f]+:	0041 10fc 	mfc0	v0,c0_vpeconf0
[ 0-9a-f]+:	0041 18fc 	mfc0	v0,c0_vpeconf1
[ 0-9a-f]+:	0041 20fc 	mfc0	v0,c0_yqmask
[ 0-9a-f]+:	0041 28fc 	mfc0	v0,c0_vpeschedule
[ 0-9a-f]+:	0041 30fc 	mfc0	v0,c0_vpeschefback
[ 0-9a-f]+:	0041 38fc 	mfc0	v0,\$1,7
[ 0-9a-f]+:	0042 00fc 	mfc0	v0,c0_entrylo0
[ 0-9a-f]+:	0042 08fc 	mfc0	v0,c0_tcstatus
[ 0-9a-f]+:	0042 10fc 	mfc0	v0,c0_tcbind
[ 0-9a-f]+:	0042 18fc 	mfc0	v0,c0_tcrestart
[ 0-9a-f]+:	0042 20fc 	mfc0	v0,c0_tchalt
[ 0-9a-f]+:	0042 28fc 	mfc0	v0,c0_tccontext
[ 0-9a-f]+:	0042 30fc 	mfc0	v0,c0_tcschedule
[ 0-9a-f]+:	0042 38fc 	mfc0	v0,c0_tcschefback
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	4602      	mfhi	v0
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	4604      	mfhi	a0
[ 0-9a-f]+:	461d      	mfhi	sp
[ 0-9a-f]+:	461e      	mfhi	s8
[ 0-9a-f]+:	461f      	mfhi	ra
[ 0-9a-f]+:	0000 0d7c 	mfhi	zero
[ 0-9a-f]+:	0002 0d7c 	mfhi	v0
[ 0-9a-f]+:	0003 0d7c 	mfhi	v1
[ 0-9a-f]+:	0004 0d7c 	mfhi	a0
[ 0-9a-f]+:	001d 0d7c 	mfhi	sp
[ 0-9a-f]+:	001e 0d7c 	mfhi	s8
[ 0-9a-f]+:	001f 0d7c 	mfhi	ra
[ 0-9a-f]+:	4640      	mflo	zero
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	4644      	mflo	a0
[ 0-9a-f]+:	465d      	mflo	sp
[ 0-9a-f]+:	465e      	mflo	s8
[ 0-9a-f]+:	465f      	mflo	ra
[ 0-9a-f]+:	0000 1d7c 	mflo	zero
[ 0-9a-f]+:	0002 1d7c 	mflo	v0
[ 0-9a-f]+:	0003 1d7c 	mflo	v1
[ 0-9a-f]+:	0004 1d7c 	mflo	a0
[ 0-9a-f]+:	001d 1d7c 	mflo	sp
[ 0-9a-f]+:	001e 1d7c 	mflo	s8
[ 0-9a-f]+:	001f 1d7c 	mflo	ra
[ 0-9a-f]+:	0062 1018 	movn	v0,v0,v1
[ 0-9a-f]+:	0062 1018 	movn	v0,v0,v1
[ 0-9a-f]+:	0083 1018 	movn	v0,v1,a0
[ 0-9a-f]+:	0062 1058 	movz	v0,v0,v1
[ 0-9a-f]+:	0062 1058 	movz	v0,v0,v1
[ 0-9a-f]+:	0083 1058 	movz	v0,v1,a0
[ 0-9a-f]+:	00a4 eb3c 	msub	a0,a1
[ 0-9a-f]+:	00a4 fb3c 	msubu	a0,a1
[ 0-9a-f]+:	0040 02fc 	mtc0	v0,c0_index
[ 0-9a-f]+:	0041 02fc 	mtc0	v0,c0_random
[ 0-9a-f]+:	0042 02fc 	mtc0	v0,c0_entrylo0
[ 0-9a-f]+:	0043 02fc 	mtc0	v0,c0_entrylo1
[ 0-9a-f]+:	0044 02fc 	mtc0	v0,c0_context
[ 0-9a-f]+:	0045 02fc 	mtc0	v0,c0_pagemask
[ 0-9a-f]+:	0046 02fc 	mtc0	v0,c0_wired
[ 0-9a-f]+:	0047 02fc 	mtc0	v0,c0_hwrena
[ 0-9a-f]+:	0048 02fc 	mtc0	v0,c0_badvaddr
[ 0-9a-f]+:	0049 02fc 	mtc0	v0,c0_count
[ 0-9a-f]+:	004a 02fc 	mtc0	v0,c0_entryhi
[ 0-9a-f]+:	004b 02fc 	mtc0	v0,c0_compare
[ 0-9a-f]+:	004c 02fc 	mtc0	v0,c0_status
[ 0-9a-f]+:	004d 02fc 	mtc0	v0,c0_cause
[ 0-9a-f]+:	004e 02fc 	mtc0	v0,c0_epc
[ 0-9a-f]+:	004f 02fc 	mtc0	v0,c0_prid
[ 0-9a-f]+:	0050 02fc 	mtc0	v0,c0_config
[ 0-9a-f]+:	0051 02fc 	mtc0	v0,c0_lladdr
[ 0-9a-f]+:	0052 02fc 	mtc0	v0,c0_watchlo
[ 0-9a-f]+:	0053 02fc 	mtc0	v0,c0_watchhi
[ 0-9a-f]+:	0054 02fc 	mtc0	v0,c0_xcontext
[ 0-9a-f]+:	0055 02fc 	mtc0	v0,\$21
[ 0-9a-f]+:	0056 02fc 	mtc0	v0,\$22
[ 0-9a-f]+:	0057 02fc 	mtc0	v0,c0_debug
[ 0-9a-f]+:	0058 02fc 	mtc0	v0,c0_depc
[ 0-9a-f]+:	0059 02fc 	mtc0	v0,c0_perfcnt
[ 0-9a-f]+:	005a 02fc 	mtc0	v0,c0_errctl
[ 0-9a-f]+:	005b 02fc 	mtc0	v0,c0_cacheerr
[ 0-9a-f]+:	005c 02fc 	mtc0	v0,c0_taglo
[ 0-9a-f]+:	005d 02fc 	mtc0	v0,c0_taghi
[ 0-9a-f]+:	005e 02fc 	mtc0	v0,c0_errorepc
[ 0-9a-f]+:	005f 02fc 	mtc0	v0,c0_desave
[ 0-9a-f]+:	0040 02fc 	mtc0	v0,c0_index
[ 0-9a-f]+:	0040 0afc 	mtc0	v0,c0_mvpcontrol
[ 0-9a-f]+:	0040 12fc 	mtc0	v0,c0_mvpconf0
[ 0-9a-f]+:	0040 1afc 	mtc0	v0,c0_mvpconf1
[ 0-9a-f]+:	0040 22fc 	mtc0	v0,\$0,4
[ 0-9a-f]+:	0040 2afc 	mtc0	v0,\$0,5
[ 0-9a-f]+:	0040 32fc 	mtc0	v0,\$0,6
[ 0-9a-f]+:	0040 3afc 	mtc0	v0,\$0,7
[ 0-9a-f]+:	0041 02fc 	mtc0	v0,c0_random
[ 0-9a-f]+:	0041 0afc 	mtc0	v0,c0_vpecontrol
[ 0-9a-f]+:	0041 12fc 	mtc0	v0,c0_vpeconf0
[ 0-9a-f]+:	0041 1afc 	mtc0	v0,c0_vpeconf1
[ 0-9a-f]+:	0041 22fc 	mtc0	v0,c0_yqmask
[ 0-9a-f]+:	0041 2afc 	mtc0	v0,c0_vpeschedule
[ 0-9a-f]+:	0041 32fc 	mtc0	v0,c0_vpeschefback
[ 0-9a-f]+:	0041 3afc 	mtc0	v0,\$1,7
[ 0-9a-f]+:	0042 02fc 	mtc0	v0,c0_entrylo0
[ 0-9a-f]+:	0042 0afc 	mtc0	v0,c0_tcstatus
[ 0-9a-f]+:	0042 12fc 	mtc0	v0,c0_tcbind
[ 0-9a-f]+:	0042 1afc 	mtc0	v0,c0_tcrestart
[ 0-9a-f]+:	0042 22fc 	mtc0	v0,c0_tchalt
[ 0-9a-f]+:	0042 2afc 	mtc0	v0,c0_tccontext
[ 0-9a-f]+:	0042 32fc 	mtc0	v0,c0_tcschedule
[ 0-9a-f]+:	0042 3afc 	mtc0	v0,c0_tcschefback
[ 0-9a-f]+:	0000 2d7c 	mthi	zero
[ 0-9a-f]+:	0002 2d7c 	mthi	v0
[ 0-9a-f]+:	0003 2d7c 	mthi	v1
[ 0-9a-f]+:	0004 2d7c 	mthi	a0
[ 0-9a-f]+:	001d 2d7c 	mthi	sp
[ 0-9a-f]+:	001e 2d7c 	mthi	s8
[ 0-9a-f]+:	001f 2d7c 	mthi	ra
[ 0-9a-f]+:	0000 3d7c 	mtlo	zero
[ 0-9a-f]+:	0002 3d7c 	mtlo	v0
[ 0-9a-f]+:	0003 3d7c 	mtlo	v1
[ 0-9a-f]+:	0004 3d7c 	mtlo	a0
[ 0-9a-f]+:	001d 3d7c 	mtlo	sp
[ 0-9a-f]+:	001e 3d7c 	mtlo	s8
[ 0-9a-f]+:	001f 3d7c 	mtlo	ra
[ 0-9a-f]+:	0083 1210 	mul	v0,v1,a0
[ 0-9a-f]+:	03fe ea10 	mul	sp,s8,ra
[ 0-9a-f]+:	0082 1210 	mul	v0,v0,a0
[ 0-9a-f]+:	0082 1210 	mul	v0,v0,a0
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0022 8b3c 	mult	v0,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	0022 8b3c 	mult	v0,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	0022 8b3c 	mult	v0,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0022 8b3c 	mult	v0,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 8b3c 	mult	v0,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	0083 8b3c 	mult	v1,a0
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	9422 fffe 	beq	v0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	3020 0004 	li	at,4
[ 0-9a-f]+:	0023 8b3c 	mult	v1,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	9422 fffe 	beq	v0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	0083 9b3c 	multu	v1,a0
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 0004 	li	at,4
[ 0-9a-f]+:	0023 9b3c 	multu	v1,at
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	0062 8b3c 	mult	v0,v1
[ 0-9a-f]+:	0062 9b3c 	multu	v0,v1
[ 0-9a-f]+:	0060 1190 	neg	v0,v1
[ 0-9a-f]+:	0040 1190 	neg	v0,v0
[ 0-9a-f]+:	0040 1190 	neg	v0,v0
[ 0-9a-f]+:	0060 11d0 	negu	v0,v1
[ 0-9a-f]+:	0040 11d0 	negu	v0,v0
[ 0-9a-f]+:	0040 11d0 	negu	v0,v0
[ 0-9a-f]+:	0060 11d0 	negu	v0,v1
[ 0-9a-f]+:	0040 11d0 	negu	v0,v0
[ 0-9a-f]+:	0040 11d0 	negu	v0,v0
[ 0-9a-f]+:	4412      	not	v0,v0
[ 0-9a-f]+:	4412      	not	v0,v0
[ 0-9a-f]+:	4413      	not	v0,v1
[ 0-9a-f]+:	4414      	not	v0,a0
[ 0-9a-f]+:	4415      	not	v0,a1
[ 0-9a-f]+:	4416      	not	v0,a2
[ 0-9a-f]+:	4417      	not	v0,a3
[ 0-9a-f]+:	4410      	not	v0,s0
[ 0-9a-f]+:	4411      	not	v0,s1
[ 0-9a-f]+:	4419      	not	v1,s1
[ 0-9a-f]+:	4421      	not	a0,s1
[ 0-9a-f]+:	4429      	not	a1,s1
[ 0-9a-f]+:	4431      	not	a2,s1
[ 0-9a-f]+:	4439      	not	a3,s1
[ 0-9a-f]+:	4401      	not	s0,s1
[ 0-9a-f]+:	4409      	not	s1,s1
[ 0-9a-f]+:	4417      	not	v0,a3
[ 0-9a-f]+:	4417      	not	v0,a3
[ 0-9a-f]+:	0083 12d0 	nor	v0,v1,a0
[ 0-9a-f]+:	03fe ead0 	nor	sp,s8,ra
[ 0-9a-f]+:	0082 12d0 	nor	v0,v0,a0
[ 0-9a-f]+:	0082 12d0 	nor	v0,v0,a0
[ 0-9a-f]+:	5043 8000 	ori	v0,v1,0x8000
[ 0-9a-f]+:	0002 12d0 	not	v0,v0
[ 0-9a-f]+:	5043 ffff 	ori	v0,v1,0xffff
[ 0-9a-f]+:	0002 12d0 	not	v0,v0
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
[ 0-9a-f]+:	0c56      	move	v0,s6
[ 0-9a-f]+:	0ec2      	move	s6,v0
[ 0-9a-f]+:	0c56      	move	v0,s6
[ 0-9a-f]+:	0ec2      	move	s6,v0
[ 0-9a-f]+:	44d2      	or	v0,v0,v0
[ 0-9a-f]+:	44d3      	or	v0,v0,v1
[ 0-9a-f]+:	44d4      	or	v0,v0,a0
[ 0-9a-f]+:	44d5      	or	v0,v0,a1
[ 0-9a-f]+:	44d6      	or	v0,v0,a2
[ 0-9a-f]+:	44d7      	or	v0,v0,a3
[ 0-9a-f]+:	44d0      	or	v0,v0,s0
[ 0-9a-f]+:	44d1      	or	v0,v0,s1
[ 0-9a-f]+:	44da      	or	v1,v1,v0
[ 0-9a-f]+:	44e2      	or	a0,a0,v0
[ 0-9a-f]+:	44ea      	or	a1,a1,v0
[ 0-9a-f]+:	44f2      	or	a2,a2,v0
[ 0-9a-f]+:	44fa      	or	a3,a3,v0
[ 0-9a-f]+:	44c2      	or	s0,s0,v0
[ 0-9a-f]+:	44ca      	or	s1,s1,v0
[ 0-9a-f]+:	44d2      	or	v0,v0,v0
[ 0-9a-f]+:	44d3      	or	v0,v0,v1
[ 0-9a-f]+:	44d3      	or	v0,v0,v1
[ 0-9a-f]+:	0083 1290 	or	v0,v1,a0
[ 0-9a-f]+:	03fe ea90 	or	sp,s8,ra
[ 0-9a-f]+:	0082 1290 	or	v0,v0,a0
[ 0-9a-f]+:	0082 1290 	or	v0,v0,a0
[ 0-9a-f]+:	5043 8000 	ori	v0,v1,0x8000
[ 0-9a-f]+:	5043 ffff 	ori	v0,v1,0xffff
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1290 	or	v0,v1,at
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0023 1290 	or	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1290 	or	v0,v1,at
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	5064 7fff 	ori	v1,a0,0x7fff
[ 0-9a-f]+:	5064 ffff 	ori	v1,a0,0xffff
[ 0-9a-f]+:	5063 ffff 	ori	v1,v1,0xffff
[ 0-9a-f]+:	5063 ffff 	ori	v1,v1,0xffff
[ 0-9a-f]+:	0040 6b3c 	rdhwr	v0,hwr_cpunum
[ 0-9a-f]+:	0041 6b3c 	rdhwr	v0,hwr_synci_step
[ 0-9a-f]+:	0042 6b3c 	rdhwr	v0,hwr_cc
[ 0-9a-f]+:	0043 6b3c 	rdhwr	v0,hwr_ccres
[ 0-9a-f]+:	0044 6b3c 	rdhwr	v0,\$4
[ 0-9a-f]+:	0045 6b3c 	rdhwr	v0,\$5
[ 0-9a-f]+:	0046 6b3c 	rdhwr	v0,\$6
[ 0-9a-f]+:	0047 6b3c 	rdhwr	v0,\$7
[ 0-9a-f]+:	0048 6b3c 	rdhwr	v0,\$8
[ 0-9a-f]+:	0049 6b3c 	rdhwr	v0,\$9
[ 0-9a-f]+:	004a 6b3c 	rdhwr	v0,\$10
[ 0-9a-f]+:	0043 e17c 	rdpgpr	v0,v1
[ 0-9a-f]+:	0042 e17c 	rdpgpr	v0,v0
[ 0-9a-f]+:	0042 e17c 	rdpgpr	v0,v0
[ 0-9a-f]+:	0062 ab3c 	div	zero,v0,v1
[ 0-9a-f]+:	03fe ab3c 	div	zero,s8,ra
[ 0-9a-f]+:	b403 fffe 	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0060 ab3c 	div	zero,zero,v1
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	b420 fffe 	bne	zero,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	b41f fffe 	bnez	ra,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03e0 ab3c 	div	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b43f fffe 	bne	ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	b420 fffe 	bne	zero,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0083 ab3c 	div	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4602      	mfhi	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	0024 ab3c 	div	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	0062 bb3c 	divu	zero,v0,v1
[ 0-9a-f]+:	03fe bb3c 	divu	zero,s8,ra
[ 0-9a-f]+:	b403 fffe 	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0060 bb3c 	divu	zero,zero,v1
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	b41f fffe 	bnez	ra,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	03e0 bb3c 	divu	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	b400 fffe 	bnez	zero,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0003 bb3c 	divu	zero,v1,zero
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4602      	mfhi	v0
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0083 bb3c 	divu	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4602      	mfhi	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	0080 11d0 	negu	v0,a0
[ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
[ 0-9a-f]+:	0080 09d0 	negu	at,a0
[ 0-9a-f]+:	0041 10d0 	rorv	v0,v0,at
[ 0-9a-f]+:	0060 11d0 	negu	v0,v1
[ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
[ 0-9a-f]+:	0040 11d0 	negu	v0,v0
[ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
[ 0-9a-f]+:	0043 00c0 	ror	v0,v1,0x0
[ 0-9a-f]+:	0043 f8c0 	ror	v0,v1,0x1f
[ 0-9a-f]+:	0043 08c0 	ror	v0,v1,0x1
[ 0-9a-f]+:	0042 08c0 	ror	v0,v0,0x1
[ 0-9a-f]+:	0042 08c0 	ror	v0,v0,0x1
[ 0-9a-f]+:	0043 00c0 	ror	v0,v1,0x0
[ 0-9a-f]+:	0043 08c0 	ror	v0,v1,0x1
[ 0-9a-f]+:	0043 f8c0 	ror	v0,v1,0x1f
[ 0-9a-f]+:	0042 f8c0 	ror	v0,v0,0x1f
[ 0-9a-f]+:	0042 f8c0 	ror	v0,v0,0x1f
[ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
[ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
[ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
[ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
[ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
[ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
[ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
[ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
[ 0-9a-f]+:	8830      	sb	zero,0\(v1\)
[ 0-9a-f]+:	8830      	sb	zero,0\(v1\)
[ 0-9a-f]+:	8831      	sb	zero,1\(v1\)
[ 0-9a-f]+:	8832      	sb	zero,2\(v1\)
[ 0-9a-f]+:	8833      	sb	zero,3\(v1\)
[ 0-9a-f]+:	8834      	sb	zero,4\(v1\)
[ 0-9a-f]+:	8835      	sb	zero,5\(v1\)
[ 0-9a-f]+:	8836      	sb	zero,6\(v1\)
[ 0-9a-f]+:	8837      	sb	zero,7\(v1\)
[ 0-9a-f]+:	8838      	sb	zero,8\(v1\)
[ 0-9a-f]+:	8839      	sb	zero,9\(v1\)
[ 0-9a-f]+:	883a      	sb	zero,10\(v1\)
[ 0-9a-f]+:	883b      	sb	zero,11\(v1\)
[ 0-9a-f]+:	883c      	sb	zero,12\(v1\)
[ 0-9a-f]+:	883d      	sb	zero,13\(v1\)
[ 0-9a-f]+:	883e      	sb	zero,14\(v1\)
[ 0-9a-f]+:	883f      	sb	zero,15\(v1\)
[ 0-9a-f]+:	893f      	sb	v0,15\(v1\)
[ 0-9a-f]+:	89bf      	sb	v1,15\(v1\)
[ 0-9a-f]+:	8a3f      	sb	a0,15\(v1\)
[ 0-9a-f]+:	8abf      	sb	a1,15\(v1\)
[ 0-9a-f]+:	8b3f      	sb	a2,15\(v1\)
[ 0-9a-f]+:	8bbf      	sb	a3,15\(v1\)
[ 0-9a-f]+:	88bf      	sb	s1,15\(v1\)
[ 0-9a-f]+:	88cf      	sb	s1,15\(a0\)
[ 0-9a-f]+:	88df      	sb	s1,15\(a1\)
[ 0-9a-f]+:	88ef      	sb	s1,15\(a2\)
[ 0-9a-f]+:	88ff      	sb	s1,15\(a3\)
[ 0-9a-f]+:	88af      	sb	s1,15\(v0\)
[ 0-9a-f]+:	888f      	sb	s1,15\(s0\)
[ 0-9a-f]+:	889f      	sb	s1,15\(s1\)
[ 0-9a-f]+:	1860 0004 	sb	v1,4\(zero\)
[ 0-9a-f]+:	1860 0004 	sb	v1,4\(zero\)
[ 0-9a-f]+:	1860 7fff 	sb	v1,32767\(zero\)
[ 0-9a-f]+:	1860 8000 	sb	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	1861 ffff 	sb	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1860 8000 	sb	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	1860 8001 	sb	v1,-32767\(zero\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1860 ffff 	sb	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	1861 5678 	sb	v1,22136\(at\)
[ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
[ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
[ 0-9a-f]+:	1864 7fff 	sb	v1,32767\(a0\)
[ 0-9a-f]+:	1864 8000 	sb	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 ffff 	sb	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1864 8000 	sb	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1864 ffff 	sb	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 5678 	sb	v1,22136\(at\)
[ 0-9a-f]+:	6060 b004 	sc	v1,4\(zero\)
[ 0-9a-f]+:	6060 b004 	sc	v1,4\(zero\)
[ 0-9a-f]+:	6060 b7ff 	sc	v1,2047\(zero\)
[ 0-9a-f]+:	6060 b800 	sc	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 bfff 	sc	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 b001 	sc	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	6060 bfff 	sc	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 b678 	sc	v1,1656\(at\)
[ 0-9a-f]+:	6064 b000 	sc	v1,0\(a0\)
[ 0-9a-f]+:	6064 b000 	sc	v1,0\(a0\)
[ 0-9a-f]+:	6064 b7ff 	sc	v1,2047\(a0\)
[ 0-9a-f]+:	6064 b800 	sc	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 bfff 	sc	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 b001 	sc	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
[ 0-9a-f]+:	6064 bfff 	sc	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 b678 	sc	v1,1656\(at\)
[ 0-9a-f]+:	46c0      	sdbbp
[ 0-9a-f]+:	46c0      	sdbbp
[ 0-9a-f]+:	46c1      	sdbbp	0x1
[ 0-9a-f]+:	46c2      	sdbbp	0x2
[ 0-9a-f]+:	46c3      	sdbbp	0x3
[ 0-9a-f]+:	46c4      	sdbbp	0x4
[ 0-9a-f]+:	46c5      	sdbbp	0x5
[ 0-9a-f]+:	46c6      	sdbbp	0x6
[ 0-9a-f]+:	46c7      	sdbbp	0x7
[ 0-9a-f]+:	46c8      	sdbbp	0x8
[ 0-9a-f]+:	46c9      	sdbbp	0x9
[ 0-9a-f]+:	46ca      	sdbbp	0xa
[ 0-9a-f]+:	46cb      	sdbbp	0xb
[ 0-9a-f]+:	46cc      	sdbbp	0xc
[ 0-9a-f]+:	46cd      	sdbbp	0xd
[ 0-9a-f]+:	46ce      	sdbbp	0xe
[ 0-9a-f]+:	46cf      	sdbbp	0xf
[ 0-9a-f]+:	0000 db7c 	sdbbp
[ 0-9a-f]+:	0000 db7c 	sdbbp
[ 0-9a-f]+:	0001 db7c 	sdbbp	0x1
[ 0-9a-f]+:	0002 db7c 	sdbbp	0x2
[ 0-9a-f]+:	00ff db7c 	sdbbp	0xff
[ 0-9a-f]+:	0043 2b3c 	seb	v0,v1
[ 0-9a-f]+:	0042 2b3c 	seb	v0,v0
[ 0-9a-f]+:	0042 2b3c 	seb	v0,v0
[ 0-9a-f]+:	0043 3b3c 	seh	v0,v1
[ 0-9a-f]+:	0042 3b3c 	seh	v0,v0
[ 0-9a-f]+:	0042 3b3c 	seh	v0,v0
[ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
[ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
[ 0-9a-f]+:	b043 0001 	sltiu	v0,v1,1
[ 0-9a-f]+:	b044 0001 	sltiu	v0,a0,1
[ 0-9a-f]+:	b043 0001 	sltiu	v0,v1,1
[ 0-9a-f]+:	7043 0001 	xori	v0,v1,0x1
[ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
[ 0-9a-f]+:	3043 0001 	addiu	v0,v1,1
[ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
[ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
[ 0-9a-f]+:	0083 1350 	slt	v0,v1,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	9043 8000 	slti	v0,v1,-32768
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	9043 7fff 	slti	v0,v1,32767
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0083 1390 	sltu	v0,v1,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	b043 8000 	sltiu	v0,v1,-32768
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	b043 7fff 	sltiu	v0,v1,32767
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0064 1350 	slt	v0,a0,v1
[ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
[ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	0064 1390 	sltu	v0,a0,v1
[ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
[ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	a930      	sh	v0,0\(v1\)
[ 0-9a-f]+:	a930      	sh	v0,0\(v1\)
[ 0-9a-f]+:	a931      	sh	v0,2\(v1\)
[ 0-9a-f]+:	a932      	sh	v0,4\(v1\)
[ 0-9a-f]+:	a933      	sh	v0,6\(v1\)
[ 0-9a-f]+:	a934      	sh	v0,8\(v1\)
[ 0-9a-f]+:	a935      	sh	v0,10\(v1\)
[ 0-9a-f]+:	a936      	sh	v0,12\(v1\)
[ 0-9a-f]+:	a937      	sh	v0,14\(v1\)
[ 0-9a-f]+:	a938      	sh	v0,16\(v1\)
[ 0-9a-f]+:	a939      	sh	v0,18\(v1\)
[ 0-9a-f]+:	a93a      	sh	v0,20\(v1\)
[ 0-9a-f]+:	a93b      	sh	v0,22\(v1\)
[ 0-9a-f]+:	a93c      	sh	v0,24\(v1\)
[ 0-9a-f]+:	a93d      	sh	v0,26\(v1\)
[ 0-9a-f]+:	a93e      	sh	v0,28\(v1\)
[ 0-9a-f]+:	a93f      	sh	v0,30\(v1\)
[ 0-9a-f]+:	a94f      	sh	v0,30\(a0\)
[ 0-9a-f]+:	a95f      	sh	v0,30\(a1\)
[ 0-9a-f]+:	a96f      	sh	v0,30\(a2\)
[ 0-9a-f]+:	a97f      	sh	v0,30\(a3\)
[ 0-9a-f]+:	a92f      	sh	v0,30\(v0\)
[ 0-9a-f]+:	a90f      	sh	v0,30\(s0\)
[ 0-9a-f]+:	a91f      	sh	v0,30\(s1\)
[ 0-9a-f]+:	a99f      	sh	v1,30\(s1\)
[ 0-9a-f]+:	aa1f      	sh	a0,30\(s1\)
[ 0-9a-f]+:	aa9f      	sh	a1,30\(s1\)
[ 0-9a-f]+:	ab1f      	sh	a2,30\(s1\)
[ 0-9a-f]+:	ab9f      	sh	a3,30\(s1\)
[ 0-9a-f]+:	a89f      	sh	s1,30\(s1\)
[ 0-9a-f]+:	a81f      	sh	zero,30\(s1\)
[ 0-9a-f]+:	3860 0004 	sh	v1,4\(zero\)
[ 0-9a-f]+:	3860 0004 	sh	v1,4\(zero\)
[ 0-9a-f]+:	3860 7fff 	sh	v1,32767\(zero\)
[ 0-9a-f]+:	3860 8000 	sh	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	3861 ffff 	sh	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
[ 0-9a-f]+:	3860 8000 	sh	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	3861 0001 	sh	v1,1\(at\)
[ 0-9a-f]+:	3860 8001 	sh	v1,-32767\(zero\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
[ 0-9a-f]+:	3860 ffff 	sh	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	3861 5678 	sh	v1,22136\(at\)
[ 0-9a-f]+:	3864 0000 	sh	v1,0\(a0\)
[ 0-9a-f]+:	3864 0000 	sh	v1,0\(a0\)
[ 0-9a-f]+:	3864 7fff 	sh	v1,32767\(a0\)
[ 0-9a-f]+:	3864 8000 	sh	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	3861 ffff 	sh	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
[ 0-9a-f]+:	3864 8000 	sh	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	3861 0001 	sh	v1,1\(at\)
[ 0-9a-f]+:	3864 8001 	sh	v1,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
[ 0-9a-f]+:	3864 ffff 	sh	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	3861 5678 	sh	v1,22136\(at\)
[ 0-9a-f]+:	0064 1350 	slt	v0,a0,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0064 1390 	sltu	v0,a0,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 0000 	li	at,0
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
[ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
[ 0-9a-f]+:	2522      	sll	v0,v0,1
[ 0-9a-f]+:	2524      	sll	v0,v0,2
[ 0-9a-f]+:	2526      	sll	v0,v0,3
[ 0-9a-f]+:	2528      	sll	v0,v0,4
[ 0-9a-f]+:	252a      	sll	v0,v0,5
[ 0-9a-f]+:	252c      	sll	v0,v0,6
[ 0-9a-f]+:	252e      	sll	v0,v0,7
[ 0-9a-f]+:	2520      	sll	v0,v0,8
[ 0-9a-f]+:	2530      	sll	v0,v1,8
[ 0-9a-f]+:	2540      	sll	v0,a0,8
[ 0-9a-f]+:	2550      	sll	v0,a1,8
[ 0-9a-f]+:	2560      	sll	v0,a2,8
[ 0-9a-f]+:	2570      	sll	v0,a3,8
[ 0-9a-f]+:	2500      	sll	v0,s0,8
[ 0-9a-f]+:	2510      	sll	v0,s1,8
[ 0-9a-f]+:	25a0      	sll	v1,v0,8
[ 0-9a-f]+:	2620      	sll	a0,v0,8
[ 0-9a-f]+:	26a0      	sll	a1,v0,8
[ 0-9a-f]+:	2720      	sll	a2,v0,8
[ 0-9a-f]+:	27a0      	sll	a3,v0,8
[ 0-9a-f]+:	2420      	sll	s0,v0,8
[ 0-9a-f]+:	24a0      	sll	s1,v0,8
[ 0-9a-f]+:	2522      	sll	v0,v0,1
[ 0-9a-f]+:	25b2      	sll	v1,v1,1
[ 0-9a-f]+:	0064 1010 	sllv	v0,v1,a0
[ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
[ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
[ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
[ 0-9a-f]+:	0044 0000 	sll	v0,a0,0x0
[ 0-9a-f]+:	0044 0800 	sll	v0,a0,0x1
[ 0-9a-f]+:	0044 f800 	sll	v0,a0,0x1f
[ 0-9a-f]+:	0042 f800 	sll	v0,v0,0x1f
[ 0-9a-f]+:	0042 f800 	sll	v0,v0,0x1f
[ 0-9a-f]+:	0083 1350 	slt	v0,v1,a0
[ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
[ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
[ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
[ 0-9a-f]+:	9043 8000 	slti	v0,v1,-32768
[ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
[ 0-9a-f]+:	9043 7fff 	slti	v0,v1,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
[ 0-9a-f]+:	9064 8000 	slti	v1,a0,-32768
[ 0-9a-f]+:	9064 0000 	slti	v1,a0,0
[ 0-9a-f]+:	9064 7fff 	slti	v1,a0,32767
[ 0-9a-f]+:	9064 ffff 	slti	v1,a0,-1
[ 0-9a-f]+:	9063 ffff 	slti	v1,v1,-1
[ 0-9a-f]+:	9063 ffff 	slti	v1,v1,-1
[ 0-9a-f]+:	b064 8000 	sltiu	v1,a0,-32768
[ 0-9a-f]+:	b064 0000 	sltiu	v1,a0,0
[ 0-9a-f]+:	b064 7fff 	sltiu	v1,a0,32767
[ 0-9a-f]+:	b064 ffff 	sltiu	v1,a0,-1
[ 0-9a-f]+:	b063 ffff 	sltiu	v1,v1,-1
[ 0-9a-f]+:	b063 ffff 	sltiu	v1,v1,-1
[ 0-9a-f]+:	0083 1390 	sltu	v0,v1,a0
[ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
[ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
[ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
[ 0-9a-f]+:	b043 8000 	sltiu	v0,v1,-32768
[ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
[ 0-9a-f]+:	b043 7fff 	sltiu	v0,v1,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
[ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
[ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
[ 0-9a-f]+:	0080 1390 	sltu	v0,zero,a0
[ 0-9a-f]+:	0060 1390 	sltu	v0,zero,v1
[ 0-9a-f]+:	0060 1390 	sltu	v0,zero,v1
[ 0-9a-f]+:	7043 0001 	xori	v0,v1,0x1
[ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
[ 0-9a-f]+:	3043 0001 	addiu	v0,v1,1
[ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
[ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
[ 0-9a-f]+:	0064 1090 	srav	v0,v1,a0
[ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
[ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
[ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
[ 0-9a-f]+:	0044 0080 	sra	v0,a0,0x0
[ 0-9a-f]+:	0044 0880 	sra	v0,a0,0x1
[ 0-9a-f]+:	0044 f880 	sra	v0,a0,0x1f
[ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
[ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
[ 0-9a-f]+:	0064 1050 	srlv	v0,v1,a0
[ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
[ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
[ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
[ 0-9a-f]+:	0044 0040 	srl	v0,a0,0x0
[ 0-9a-f]+:	2543      	srl	v0,a0,1
[ 0-9a-f]+:	0044 f840 	srl	v0,a0,0x1f
[ 0-9a-f]+:	0042 f840 	srl	v0,v0,0x1f
[ 0-9a-f]+:	0042 f840 	srl	v0,v0,0x1f
[ 0-9a-f]+:	2523      	srl	v0,v0,1
[ 0-9a-f]+:	2525      	srl	v0,v0,2
[ 0-9a-f]+:	2527      	srl	v0,v0,3
[ 0-9a-f]+:	2529      	srl	v0,v0,4
[ 0-9a-f]+:	252b      	srl	v0,v0,5
[ 0-9a-f]+:	252d      	srl	v0,v0,6
[ 0-9a-f]+:	252f      	srl	v0,v0,7
[ 0-9a-f]+:	2521      	srl	v0,v0,8
[ 0-9a-f]+:	2531      	srl	v0,v1,8
[ 0-9a-f]+:	2541      	srl	v0,a0,8
[ 0-9a-f]+:	2551      	srl	v0,a1,8
[ 0-9a-f]+:	2561      	srl	v0,a2,8
[ 0-9a-f]+:	2571      	srl	v0,a3,8
[ 0-9a-f]+:	2501      	srl	v0,s0,8
[ 0-9a-f]+:	2511      	srl	v0,s1,8
[ 0-9a-f]+:	2521      	srl	v0,v0,8
[ 0-9a-f]+:	25a1      	srl	v1,v0,8
[ 0-9a-f]+:	2621      	srl	a0,v0,8
[ 0-9a-f]+:	26a1      	srl	a1,v0,8
[ 0-9a-f]+:	2721      	srl	a2,v0,8
[ 0-9a-f]+:	27a1      	srl	a3,v0,8
[ 0-9a-f]+:	2421      	srl	s0,v0,8
[ 0-9a-f]+:	24a1      	srl	s1,v0,8
[ 0-9a-f]+:	25b3      	srl	v1,v1,1
[ 0-9a-f]+:	25b3      	srl	v1,v1,1
[ 0-9a-f]+:	0083 1190 	sub	v0,v1,a0
[ 0-9a-f]+:	03fe e990 	sub	sp,s8,ra
[ 0-9a-f]+:	0082 1190 	sub	v0,v0,a0
[ 0-9a-f]+:	0082 1190 	sub	v0,v0,a0
[ 0-9a-f]+:	1042 0000 	addi	v0,v0,0
[ 0-9a-f]+:	1042 ffff 	addi	v0,v0,-1
[ 0-9a-f]+:	1042 8001 	addi	v0,v0,-32767
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0022 1190 	sub	v0,v0,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 1190 	sub	v0,v0,at
[ 0-9a-f]+:	0527      	subu	v0,v1,v0
[ 0-9a-f]+:	0537      	subu	v0,v1,v1
[ 0-9a-f]+:	0547      	subu	v0,v1,a0
[ 0-9a-f]+:	0557      	subu	v0,v1,a1
[ 0-9a-f]+:	0567      	subu	v0,v1,a2
[ 0-9a-f]+:	0577      	subu	v0,v1,a3
[ 0-9a-f]+:	0507      	subu	v0,v1,s0
[ 0-9a-f]+:	0517      	subu	v0,v1,s1
[ 0-9a-f]+:	0515      	subu	v0,v0,s1
[ 0-9a-f]+:	0519      	subu	v0,a0,s1
[ 0-9a-f]+:	051b      	subu	v0,a1,s1
[ 0-9a-f]+:	051d      	subu	v0,a2,s1
[ 0-9a-f]+:	051f      	subu	v0,a3,s1
[ 0-9a-f]+:	0511      	subu	v0,s0,s1
[ 0-9a-f]+:	0513      	subu	v0,s1,s1
[ 0-9a-f]+:	0515      	subu	v0,v0,s1
[ 0-9a-f]+:	0595      	subu	v1,v0,s1
[ 0-9a-f]+:	0615      	subu	a0,v0,s1
[ 0-9a-f]+:	0695      	subu	a1,v0,s1
[ 0-9a-f]+:	0715      	subu	a2,v0,s1
[ 0-9a-f]+:	0795      	subu	a3,v0,s1
[ 0-9a-f]+:	0415      	subu	s0,v0,s1
[ 0-9a-f]+:	0495      	subu	s1,v0,s1
[ 0-9a-f]+:	07af      	subu	a3,a3,v0
[ 0-9a-f]+:	07af      	subu	a3,a3,v0
[ 0-9a-f]+:	0083 11d0 	subu	v0,v1,a0
[ 0-9a-f]+:	03fe e9d0 	subu	sp,s8,ra
[ 0-9a-f]+:	0082 11d0 	subu	v0,v0,a0
[ 0-9a-f]+:	0082 11d0 	subu	v0,v0,a0
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 0-9a-f]+:	3042 ffff 	addiu	v0,v0,-1
[ 0-9a-f]+:	3042 8001 	addiu	v0,v0,-32767
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0022 11d0 	subu	v0,v0,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 11d0 	subu	v0,v0,at
[ 0-9a-f]+:	e940      	sw	v0,0\(a0\)
[ 0-9a-f]+:	e940      	sw	v0,0\(a0\)
[ 0-9a-f]+:	e941      	sw	v0,4\(a0\)
[ 0-9a-f]+:	e942      	sw	v0,8\(a0\)
[ 0-9a-f]+:	e943      	sw	v0,12\(a0\)
[ 0-9a-f]+:	e944      	sw	v0,16\(a0\)
[ 0-9a-f]+:	e945      	sw	v0,20\(a0\)
[ 0-9a-f]+:	e946      	sw	v0,24\(a0\)
[ 0-9a-f]+:	e947      	sw	v0,28\(a0\)
[ 0-9a-f]+:	e948      	sw	v0,32\(a0\)
[ 0-9a-f]+:	e949      	sw	v0,36\(a0\)
[ 0-9a-f]+:	e94a      	sw	v0,40\(a0\)
[ 0-9a-f]+:	e94b      	sw	v0,44\(a0\)
[ 0-9a-f]+:	e94c      	sw	v0,48\(a0\)
[ 0-9a-f]+:	e94d      	sw	v0,52\(a0\)
[ 0-9a-f]+:	e94e      	sw	v0,56\(a0\)
[ 0-9a-f]+:	e94f      	sw	v0,60\(a0\)
[ 0-9a-f]+:	e95f      	sw	v0,60\(a1\)
[ 0-9a-f]+:	e96f      	sw	v0,60\(a2\)
[ 0-9a-f]+:	e97f      	sw	v0,60\(a3\)
[ 0-9a-f]+:	e90f      	sw	v0,60\(s0\)
[ 0-9a-f]+:	e91f      	sw	v0,60\(s1\)
[ 0-9a-f]+:	e92f      	sw	v0,60\(v0\)
[ 0-9a-f]+:	e93f      	sw	v0,60\(v1\)
[ 0-9a-f]+:	e9bf      	sw	v1,60\(v1\)
[ 0-9a-f]+:	ea3f      	sw	a0,60\(v1\)
[ 0-9a-f]+:	eabf      	sw	a1,60\(v1\)
[ 0-9a-f]+:	eb3f      	sw	a2,60\(v1\)
[ 0-9a-f]+:	ebbf      	sw	a3,60\(v1\)
[ 0-9a-f]+:	e8bf      	sw	s1,60\(v1\)
[ 0-9a-f]+:	e83f      	sw	zero,60\(v1\)
[ 0-9a-f]+:	c800      	sw	zero,0\(sp\)
[ 0-9a-f]+:	c800      	sw	zero,0\(sp\)
[ 0-9a-f]+:	c801      	sw	zero,4\(sp\)
[ 0-9a-f]+:	c802      	sw	zero,8\(sp\)
[ 0-9a-f]+:	c803      	sw	zero,12\(sp\)
[ 0-9a-f]+:	c804      	sw	zero,16\(sp\)
[ 0-9a-f]+:	c805      	sw	zero,20\(sp\)
[ 0-9a-f]+:	c81e      	sw	zero,120\(sp\)
[ 0-9a-f]+:	c81f      	sw	zero,124\(sp\)
[ 0-9a-f]+:	c85f      	sw	v0,124\(sp\)
[ 0-9a-f]+:	ca3f      	sw	s1,124\(sp\)
[ 0-9a-f]+:	c87f      	sw	v1,124\(sp\)
[ 0-9a-f]+:	c89f      	sw	a0,124\(sp\)
[ 0-9a-f]+:	c8bf      	sw	a1,124\(sp\)
[ 0-9a-f]+:	c8df      	sw	a2,124\(sp\)
[ 0-9a-f]+:	c8ff      	sw	a3,124\(sp\)
[ 0-9a-f]+:	cbff      	sw	ra,124\(sp\)
[ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
[ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
[ 0-9a-f]+:	f860 7fff 	sw	v1,32767\(zero\)
[ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
[ 0-9a-f]+:	f860 8001 	sw	v1,-32767\(zero\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f860 ffff 	sw	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
[ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
[ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
[ 0-9a-f]+:	f864 7fff 	sw	v1,32767\(a0\)
[ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
[ 0-9a-f]+:	f864 8001 	sw	v1,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f864 ffff 	sw	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 87ff 	swl	v1,2047\(zero\)
[ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
[ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
[ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
[ 0-9a-f]+:	6064 87ff 	swl	v1,2047\(a0\)
[ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
[ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
[ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
[ 0-9a-f]+:	6060 97ff 	swr	v1,2047\(zero\)
[ 0-9a-f]+:	6060 9800 	swr	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	6060 9fff 	swr	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
[ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
[ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
[ 0-9a-f]+:	6064 97ff 	swr	v1,2047\(a0\)
[ 0-9a-f]+:	6064 9800 	swr	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	6064 9fff 	swr	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 87ff 	swl	v1,2047\(zero\)
[ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
[ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
[ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
[ 0-9a-f]+:	6064 87ff 	swl	v1,2047\(a0\)
[ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
[ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
[ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
[ 0-9a-f]+:	6060 97ff 	swr	v1,2047\(zero\)
[ 0-9a-f]+:	6060 9800 	swr	v1,-2048\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	6060 9fff 	swr	v1,-1\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
[ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
[ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
[ 0-9a-f]+:	6064 97ff 	swr	v1,2047\(a0\)
[ 0-9a-f]+:	6064 9800 	swr	v1,-2048\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
[ 0-9a-f]+:	6064 9fff 	swr	v1,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
[ 0-9a-f]+:	454c      	swm	s0,ra,48\(sp\)
[ 0-9a-f]+:	455c      	swm	s0-s1,ra,48\(sp\)
[ 0-9a-f]+:	455c      	swm	s0-s1,ra,48\(sp\)
[ 0-9a-f]+:	456c      	swm	s0-s2,ra,48\(sp\)
[ 0-9a-f]+:	456c      	swm	s0-s2,ra,48\(sp\)
[ 0-9a-f]+:	457c      	swm	s0-s3,ra,48\(sp\)
[ 0-9a-f]+:	457c      	swm	s0-s3,ra,48\(sp\)
[ 0-9a-f]+:	4540      	swm	s0,ra,0\(sp\)
[ 0-9a-f]+:	4540      	swm	s0,ra,0\(sp\)
[ 0-9a-f]+:	4541      	swm	s0,ra,4\(sp\)
[ 0-9a-f]+:	4542      	swm	s0,ra,8\(sp\)
[ 0-9a-f]+:	4543      	swm	s0,ra,12\(sp\)
[ 0-9a-f]+:	4544      	swm	s0,ra,16\(sp\)
[ 0-9a-f]+:	4545      	swm	s0,ra,20\(sp\)
[ 0-9a-f]+:	4546      	swm	s0,ra,24\(sp\)
[ 0-9a-f]+:	4547      	swm	s0,ra,28\(sp\)
[ 0-9a-f]+:	4548      	swm	s0,ra,32\(sp\)
[ 0-9a-f]+:	4549      	swm	s0,ra,36\(sp\)
[ 0-9a-f]+:	454a      	swm	s0,ra,40\(sp\)
[ 0-9a-f]+:	454b      	swm	s0,ra,44\(sp\)
[ 0-9a-f]+:	454c      	swm	s0,ra,48\(sp\)
[ 0-9a-f]+:	454d      	swm	s0,ra,52\(sp\)
[ 0-9a-f]+:	454e      	swm	s0,ra,56\(sp\)
[ 0-9a-f]+:	454f      	swm	s0,ra,60\(sp\)
[ 0-9a-f]+:	2020 d000 	swm	s0,0\(zero\)
[ 0-9a-f]+:	2020 d004 	swm	s0,4\(zero\)
[ 0-9a-f]+:	2020 d7ff 	swm	s0,2047\(zero\)
[ 0-9a-f]+:	2020 d800 	swm	s0,-2048\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	2025 d000 	swm	s0,0\(a1\)
[ 0-9a-f]+:	2025 d7ff 	swm	s0,2047\(a1\)
[ 0-9a-f]+:	2025 d800 	swm	s0,-2048\(a1\)
[ 0-9a-f]+:	3025 0800 	addiu	at,a1,2048
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	3025 f7ff 	addiu	at,a1,-2049
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	2045 d7ff 	swm	s0-s1,2047\(a1\)
[ 0-9a-f]+:	2065 d7ff 	swm	s0-s2,2047\(a1\)
[ 0-9a-f]+:	2085 d7ff 	swm	s0-s3,2047\(a1\)
[ 0-9a-f]+:	20a5 d7ff 	swm	s0-s4,2047\(a1\)
[ 0-9a-f]+:	20c5 d7ff 	swm	s0-s5,2047\(a1\)
[ 0-9a-f]+:	20e5 d7ff 	swm	s0-s6,2047\(a1\)
[ 0-9a-f]+:	2105 d7ff 	swm	s0-s7,2047\(a1\)
[ 0-9a-f]+:	2125 d7ff 	swm	s0-s7,s8,2047\(a1\)
[ 0-9a-f]+:	2205 d7ff 	swm	ra,2047\(a1\)
[ 0-9a-f]+:	2225 d000 	swm	s0,ra,0\(a1\)
[ 0-9a-f]+:	2245 d000 	swm	s0-s1,ra,0\(a1\)
[ 0-9a-f]+:	2265 d000 	swm	s0-s2,ra,0\(a1\)
[ 0-9a-f]+:	2285 d000 	swm	s0-s3,ra,0\(a1\)
[ 0-9a-f]+:	22a5 d000 	swm	s0-s4,ra,0\(a1\)
[ 0-9a-f]+:	22c5 d000 	swm	s0-s5,ra,0\(a1\)
[ 0-9a-f]+:	22e5 d000 	swm	s0-s6,ra,0\(a1\)
[ 0-9a-f]+:	2305 d000 	swm	s0-s7,ra,0\(a1\)
[ 0-9a-f]+:	2325 d000 	swm	s0-s7,s8,ra,0\(a1\)
[ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	203d d000 	swm	s0,0\(sp\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 dfff 	swm	s0,-1\(at\)
[ 0-9a-f]+:	2040 9000 	swp	v0,0\(zero\)
[ 0-9a-f]+:	2040 9004 	swp	v0,4\(zero\)
[ 0-9a-f]+:	2040 97ff 	swp	v0,2047\(zero\)
[ 0-9a-f]+:	2040 9800 	swp	v0,-2048\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	205d 9000 	swp	v0,0\(sp\)
[ 0-9a-f]+:	205d 9000 	swp	v0,0\(sp\)
[ 0-9a-f]+:	2043 97ff 	swp	v0,2047\(v1\)
[ 0-9a-f]+:	2043 9800 	swp	v0,-2048\(v1\)
[ 0-9a-f]+:	3023 0800 	addiu	at,v1,2048
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	3023 f7ff 	addiu	at,v1,-2049
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	2043 9000 	swp	v0,0\(v1\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	2041 9fff 	swp	v0,-1\(at\)
[ 0-9a-f]+:	0000 6b7c 	sync
[ 0-9a-f]+:	0000 6b7c 	sync
[ 0-9a-f]+:	0001 6b7c 	sync	0x1
[ 0-9a-f]+:	0002 6b7c 	sync	0x2
[ 0-9a-f]+:	0003 6b7c 	sync	0x3
[ 0-9a-f]+:	0004 6b7c 	sync_wmb
[ 0-9a-f]+:	001e 6b7c 	sync	0x1e
[ 0-9a-f]+:	001f 6b7c 	sync	0x1f
[ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
[ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
[ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
[ 0-9a-f]+:	4200 07ff 	synci	2047\(zero\)
[ 0-9a-f]+:	4200 f800 	synci	-2048\(zero\)
[ 0-9a-f]+:	4200 0800 	synci	2048\(zero\)
[ 0-9a-f]+:	4200 f7ff 	synci	-2049\(zero\)
[ 0-9a-f]+:	4200 7fff 	synci	32767\(zero\)
[ 0-9a-f]+:	4200 8000 	synci	-32768\(zero\)
[ 0-9a-f]+:	4202 0000 	synci	0\(v0\)
[ 0-9a-f]+:	4203 0000 	synci	0\(v1\)
[ 0-9a-f]+:	4203 07ff 	synci	2047\(v1\)
[ 0-9a-f]+:	4203 f800 	synci	-2048\(v1\)
[ 0-9a-f]+:	4203 0800 	synci	2048\(v1\)
[ 0-9a-f]+:	4203 f7ff 	synci	-2049\(v1\)
[ 0-9a-f]+:	4203 7fff 	synci	32767\(v1\)
[ 0-9a-f]+:	4203 8000 	synci	-32768\(v1\)
[ 0-9a-f]+:	0000 8b7c 	syscall
[ 0-9a-f]+:	0000 8b7c 	syscall
[ 0-9a-f]+:	0001 8b7c 	syscall	0x1
[ 0-9a-f]+:	0002 8b7c 	syscall	0x2
[ 0-9a-f]+:	00ff 8b7c 	syscall	0xff
[ 0-9a-f]+:	41c2 0000 	teqi	v0,0
[ 0-9a-f]+:	41c2 8000 	teqi	v0,-32768
[ 0-9a-f]+:	41c2 7fff 	teqi	v0,32767
[ 0-9a-f]+:	41c2 ffff 	teqi	v0,-1
[ 0-9a-f]+:	0062 003c 	teq	v0,v1
[ 0-9a-f]+:	0043 003c 	teq	v1,v0
[ 0-9a-f]+:	0062 003c 	teq	v0,v1
[ 0-9a-f]+:	0062 103c 	teq	v0,v1,0x1
[ 0-9a-f]+:	0062 f03c 	teq	v0,v1,0xf
[ 0-9a-f]+:	41c2 0000 	teqi	v0,0
[ 0-9a-f]+:	41c2 8000 	teqi	v0,-32768
[ 0-9a-f]+:	41c2 7fff 	teqi	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 003c 	teq	v0,at
[ 0-9a-f]+:	4122 0000 	tgei	v0,0
[ 0-9a-f]+:	4122 8000 	tgei	v0,-32768
[ 0-9a-f]+:	4122 7fff 	tgei	v0,32767
[ 0-9a-f]+:	4122 ffff 	tgei	v0,-1
[ 0-9a-f]+:	0062 023c 	tge	v0,v1
[ 0-9a-f]+:	0043 023c 	tge	v1,v0
[ 0-9a-f]+:	0062 023c 	tge	v0,v1
[ 0-9a-f]+:	0062 123c 	tge	v0,v1,0x1
[ 0-9a-f]+:	0062 f23c 	tge	v0,v1,0xf
[ 0-9a-f]+:	4122 0000 	tgei	v0,0
[ 0-9a-f]+:	4122 8000 	tgei	v0,-32768
[ 0-9a-f]+:	4122 7fff 	tgei	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 023c 	tge	v0,at
[ 0-9a-f]+:	4162 0000 	tgeiu	v0,0
[ 0-9a-f]+:	4162 8000 	tgeiu	v0,-32768
[ 0-9a-f]+:	4162 7fff 	tgeiu	v0,32767
[ 0-9a-f]+:	4162 ffff 	tgeiu	v0,-1
[ 0-9a-f]+:	0062 043c 	tgeu	v0,v1
[ 0-9a-f]+:	0043 043c 	tgeu	v1,v0
[ 0-9a-f]+:	0062 043c 	tgeu	v0,v1
[ 0-9a-f]+:	0062 143c 	tgeu	v0,v1,0x1
[ 0-9a-f]+:	0062 f43c 	tgeu	v0,v1,0xf
[ 0-9a-f]+:	4162 0000 	tgeiu	v0,0
[ 0-9a-f]+:	4162 8000 	tgeiu	v0,-32768
[ 0-9a-f]+:	4162 7fff 	tgeiu	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 043c 	tgeu	v0,at
[ 0-9a-f]+:	0000 037c 	tlbp
[ 0-9a-f]+:	0000 137c 	tlbr
[ 0-9a-f]+:	0000 237c 	tlbwi
[ 0-9a-f]+:	0000 337c 	tlbwr
[ 0-9a-f]+:	4102 0000 	tlti	v0,0
[ 0-9a-f]+:	4102 8000 	tlti	v0,-32768
[ 0-9a-f]+:	4102 7fff 	tlti	v0,32767
[ 0-9a-f]+:	4102 ffff 	tlti	v0,-1
[ 0-9a-f]+:	0062 083c 	tlt	v0,v1
[ 0-9a-f]+:	0043 083c 	tlt	v1,v0
[ 0-9a-f]+:	0062 083c 	tlt	v0,v1
[ 0-9a-f]+:	0062 183c 	tlt	v0,v1,0x1
[ 0-9a-f]+:	0062 f83c 	tlt	v0,v1,0xf
[ 0-9a-f]+:	4102 0000 	tlti	v0,0
[ 0-9a-f]+:	4102 8000 	tlti	v0,-32768
[ 0-9a-f]+:	4102 7fff 	tlti	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 083c 	tlt	v0,at
[ 0-9a-f]+:	4142 0000 	tltiu	v0,0
[ 0-9a-f]+:	4142 8000 	tltiu	v0,-32768
[ 0-9a-f]+:	4142 7fff 	tltiu	v0,32767
[ 0-9a-f]+:	4142 ffff 	tltiu	v0,-1
[ 0-9a-f]+:	0062 0a3c 	tltu	v0,v1
[ 0-9a-f]+:	0043 0a3c 	tltu	v1,v0
[ 0-9a-f]+:	0062 0a3c 	tltu	v0,v1
[ 0-9a-f]+:	0062 1a3c 	tltu	v0,v1,0x1
[ 0-9a-f]+:	0062 fa3c 	tltu	v0,v1,0xf
[ 0-9a-f]+:	4142 0000 	tltiu	v0,0
[ 0-9a-f]+:	4142 8000 	tltiu	v0,-32768
[ 0-9a-f]+:	4142 7fff 	tltiu	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
[ 0-9a-f]+:	4182 0000 	tnei	v0,0
[ 0-9a-f]+:	4182 8000 	tnei	v0,-32768
[ 0-9a-f]+:	4182 7fff 	tnei	v0,32767
[ 0-9a-f]+:	4182 ffff 	tnei	v0,-1
[ 0-9a-f]+:	0062 0c3c 	tne	v0,v1
[ 0-9a-f]+:	0043 0c3c 	tne	v1,v0
[ 0-9a-f]+:	0062 0c3c 	tne	v0,v1
[ 0-9a-f]+:	0062 1c3c 	tne	v0,v1,0x1
[ 0-9a-f]+:	0062 fc3c 	tne	v0,v1,0xf
[ 0-9a-f]+:	4182 0000 	tnei	v0,0
[ 0-9a-f]+:	4182 8000 	tnei	v0,-32768
[ 0-9a-f]+:	4182 7fff 	tnei	v0,32767
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0022 0c3c 	tne	v0,at
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0022 0c3c 	tne	v0,at
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	0022 0c3c 	tne	v0,at
[ 0-9a-f]+:	1c20 0004 	lb	at,4\(zero\)
[ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c20 0004 	lb	at,4\(zero\)
[ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 0000 	lb	at,0\(a0\)
[ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 0000 	lb	at,0\(a0\)
[ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 7ffb 	lb	at,32763\(a0\)
[ 0-9a-f]+:	1464 7ffc 	lbu	v1,32764\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 8000 	lb	at,-32768\(a0\)
[ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 8000 	lb	at,-32768\(a0\)
[ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 8001 	lb	at,-32767\(a0\)
[ 0-9a-f]+:	1464 8002 	lbu	v1,-32766\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1c24 ffff 	lb	at,-1\(a0\)
[ 0-9a-f]+:	1464 0000 	lbu	v1,0\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1420 0004 	lbu	at,4\(zero\)
[ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1420 0004 	lbu	at,4\(zero\)
[ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 0000 	lbu	at,0\(a0\)
[ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 0000 	lbu	at,0\(a0\)
[ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 7ffb 	lbu	at,32763\(a0\)
[ 0-9a-f]+:	1464 7ffc 	lbu	v1,32764\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 8000 	lbu	at,-32768\(a0\)
[ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 8000 	lbu	at,-32768\(a0\)
[ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 8001 	lbu	at,-32767\(a0\)
[ 0-9a-f]+:	1464 8002 	lbu	v1,-32766\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1424 ffff 	lbu	at,-1\(a0\)
[ 0-9a-f]+:	1464 0000 	lbu	v1,0\(a0\)
[ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 1003 	lwr	v1,3\(zero\)
[ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
[ 0-9a-f]+:	6060 1003 	lwr	v1,3\(zero\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 1007 	lwr	v1,7\(zero\)
[ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
[ 0-9a-f]+:	6060 1007 	lwr	v1,7\(zero\)
[ 0-9a-f]+:	3020 07ff 	li	at,2047
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
[ 0-9a-f]+:	6060 1803 	lwr	v1,-2045\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3020 7ffb 	li	at,32763
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
[ 0-9a-f]+:	6060 1002 	lwr	v1,2\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
[ 0-9a-f]+:	6064 1003 	lwr	v1,3\(a0\)
[ 0-9a-f]+:	6064 0004 	lwl	v1,4\(a0\)
[ 0-9a-f]+:	6064 1007 	lwr	v1,7\(a0\)
[ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
[ 0-9a-f]+:	6064 1803 	lwr	v1,-2045\(a0\)
[ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3024 7ffb 	addiu	at,a0,32763
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
[ 0-9a-f]+:	6064 1002 	lwr	v1,2\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
[ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
[ 0-9a-f]+:	1860 0005 	sb	v1,5\(zero\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1820 0004 	sb	at,4\(zero\)
[ 0-9a-f]+:	1860 0005 	sb	v1,5\(zero\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1820 0004 	sb	at,4\(zero\)
[ 0-9a-f]+:	1864 0001 	sb	v1,1\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 0000 	sb	at,0\(a0\)
[ 0-9a-f]+:	1864 0001 	sb	v1,1\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 0000 	sb	at,0\(a0\)
[ 0-9a-f]+:	1864 7ffc 	sb	v1,32764\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 7ffb 	sb	at,32763\(a0\)
[ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 8000 	sb	at,-32768\(a0\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 8000 	sb	at,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1864 8002 	sb	v1,-32766\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 8001 	sb	at,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
[ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
[ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
[ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
[ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
[ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
[ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
[ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
[ 0-9a-f]+:	1824 ffff 	sb	at,-1\(a0\)
[ 0-9a-f]+:	6060 8000 	swl	v1,0\(zero\)
[ 0-9a-f]+:	6060 9003 	swr	v1,3\(zero\)
[ 0-9a-f]+:	6060 8000 	swl	v1,0\(zero\)
[ 0-9a-f]+:	6060 9003 	swr	v1,3\(zero\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 9007 	swr	v1,7\(zero\)
[ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
[ 0-9a-f]+:	6060 9007 	swr	v1,7\(zero\)
[ 0-9a-f]+:	3020 07ff 	li	at,2047
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
[ 0-9a-f]+:	6060 9803 	swr	v1,-2045\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3020 7ffb 	li	at,32763
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
[ 0-9a-f]+:	6060 9002 	swr	v1,2\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
[ 0-9a-f]+:	6064 9003 	swr	v1,3\(a0\)
[ 0-9a-f]+:	6064 8004 	swl	v1,4\(a0\)
[ 0-9a-f]+:	6064 9007 	swr	v1,7\(a0\)
[ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
[ 0-9a-f]+:	6064 9803 	swr	v1,-2045\(a0\)
[ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3024 7ffb 	addiu	at,a0,32763
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
[ 0-9a-f]+:	6064 9002 	swr	v1,2\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
[ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
[ 0-9a-f]+:	0000 937c 	wait
[ 0-9a-f]+:	0000 937c 	wait
[ 0-9a-f]+:	0001 937c 	wait	0x1
[ 0-9a-f]+:	00ff 937c 	wait	0xff
[ 0-9a-f]+:	0043 f17c 	wrpgpr	v0,v1
[ 0-9a-f]+:	0044 f17c 	wrpgpr	v0,a0
[ 0-9a-f]+:	0042 f17c 	wrpgpr	v0,v0
[ 0-9a-f]+:	0042 f17c 	wrpgpr	v0,v0
[ 0-9a-f]+:	0043 7b3c 	wsbh	v0,v1
[ 0-9a-f]+:	0044 7b3c 	wsbh	v0,a0
[ 0-9a-f]+:	0042 7b3c 	wsbh	v0,v0
[ 0-9a-f]+:	0042 7b3c 	wsbh	v0,v0
[ 0-9a-f]+:	4452      	xor	v0,v0,v0
[ 0-9a-f]+:	4453      	xor	v0,v0,v1
[ 0-9a-f]+:	4454      	xor	v0,v0,a0
[ 0-9a-f]+:	4455      	xor	v0,v0,a1
[ 0-9a-f]+:	4456      	xor	v0,v0,a2
[ 0-9a-f]+:	4457      	xor	v0,v0,a3
[ 0-9a-f]+:	4450      	xor	v0,v0,s0
[ 0-9a-f]+:	4451      	xor	v0,v0,s1
[ 0-9a-f]+:	4459      	xor	v1,v1,s1
[ 0-9a-f]+:	4461      	xor	a0,a0,s1
[ 0-9a-f]+:	4469      	xor	a1,a1,s1
[ 0-9a-f]+:	4471      	xor	a2,a2,s1
[ 0-9a-f]+:	4479      	xor	a3,a3,s1
[ 0-9a-f]+:	4441      	xor	s0,s0,s1
[ 0-9a-f]+:	4449      	xor	s1,s1,s1
[ 0-9a-f]+:	4453      	xor	v0,v0,v1
[ 0-9a-f]+:	4453      	xor	v0,v0,v1
[ 0-9a-f]+:	4453      	xor	v0,v0,v1
[ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
[ 0-9a-f]+:	03fe eb10 	xor	sp,s8,ra
[ 0-9a-f]+:	0082 1310 	xor	v0,v0,a0
[ 0-9a-f]+:	0082 1310 	xor	v0,v0,a0
[ 0-9a-f]+:	7043 8000 	xori	v0,v1,0x8000
[ 0-9a-f]+:	7043 ffff 	xori	v0,v1,0xffff
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
[ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
[ 0-9a-f]+:	7064 0000 	xori	v1,a0,0x0
[ 0-9a-f]+:	7064 7fff 	xori	v1,a0,0x7fff
[ 0-9a-f]+:	7064 ffff 	xori	v1,a0,0xffff
[ 0-9a-f]+:	7063 ffff 	xori	v1,v1,0xffff
[ 0-9a-f]+:	7063 ffff 	xori	v1,v1,0xffff
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9549 fffe 	beq	t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	9429 fffe 	beq	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	016a 0b50 	slt	at,t2,t3
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	902a 0002 	slti	at,t2,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9440 fffe 	beq	zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0062 0b90 	sltu	at,v0,v1
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b402 fffe 	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b022 0002 	sltiu	at,v0,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4062 fffe 	bgezal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
[ 0-9a-f]+:	40c2 fffe 	bgtz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0029 0b50 	slt	at,t1,at
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0149 0b50 	slt	at,t1,t2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b540 fffe 	bne	zero,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0149 0b90 	sltu	at,t1,t2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4029 fffe 	bltzal	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b549 fffe 	bne	t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	b429 fffe 	bne	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b549 fffe 	bne	t1,t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	b429 fffe 	bne	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	016a 0b50 	slt	at,t2,t3
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	902a 0002 	slti	at,t2,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b440 fffe 	bne	zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	0062 0b90 	sltu	at,v0,v1
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9402 fffe 	beqz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b022 0002 	sltiu	at,v0,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4082 fffe 	blez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0029 0b50 	slt	at,t1,at
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
[ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	0149 0b50 	slt	at,t1,t2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9029 0002 	slti	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9540 fffe 	beq	zero,t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	0149 0b90 	sltu	at,t1,t2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9549 fffe 	beq	t1,t2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	9429 fffe 	beq	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
[ 0-9a-f]+:	f880 0008 	sw	a0,8\(zero\)
[ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
[ 0-9a-f]+:	f880 0008 	sw	a0,8\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
[ 0-9a-f]+:	f880 8004 	sw	a0,-32764\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
[ 0-9a-f]+:	f881 0003 	sw	a0,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
[ 0-9a-f]+:	f880 8004 	sw	a0,-32764\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
[ 0-9a-f]+:	f881 0005 	sw	a0,5\(at\)
[ 0-9a-f]+:	f860 8001 	sw	v1,-32767\(zero\)
[ 0-9a-f]+:	f880 8005 	sw	a0,-32763\(zero\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f860 ffff 	sw	v1,-1\(zero\)
[ 0-9a-f]+:	f880 0003 	sw	a0,3\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
[ 0-9a-f]+:	f881 567c 	sw	a0,22140\(at\)
[ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
[ 0-9a-f]+:	f884 0004 	sw	a0,4\(a0\)
[ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
[ 0-9a-f]+:	f884 0004 	sw	a0,4\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
[ 0-9a-f]+:	f884 8004 	sw	a0,-32764\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
[ 0-9a-f]+:	f881 0003 	sw	a0,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
[ 0-9a-f]+:	f884 8004 	sw	a0,-32764\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
[ 0-9a-f]+:	f881 0005 	sw	a0,5\(at\)
[ 0-9a-f]+:	f864 8001 	sw	v1,-32767\(a0\)
[ 0-9a-f]+:	f884 8005 	sw	a0,-32763\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
[ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
[ 0-9a-f]+:	f864 ffff 	sw	v1,-1\(a0\)
[ 0-9a-f]+:	f884 0003 	sw	a0,3\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
[ 0-9a-f]+:	f881 567c 	sw	a0,22140\(at\)
[ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
[ 0-9a-f]+:	fc80 0008 	lw	a0,8\(zero\)
[ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
[ 0-9a-f]+:	fc80 0008 	lw	a0,8\(zero\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
[ 0-9a-f]+:	fc80 8004 	lw	a0,-32764\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	fc61 ffff 	lw	v1,-1\(at\)
[ 0-9a-f]+:	fc81 0003 	lw	a0,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
[ 0-9a-f]+:	fc80 8004 	lw	a0,-32764\(zero\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	fc61 0001 	lw	v1,1\(at\)
[ 0-9a-f]+:	fc81 0005 	lw	a0,5\(at\)
[ 0-9a-f]+:	fc60 8001 	lw	v1,-32767\(zero\)
[ 0-9a-f]+:	fc80 8005 	lw	a0,-32763\(zero\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc60 ffff 	lw	v1,-1\(zero\)
[ 0-9a-f]+:	fc80 0003 	lw	a0,3\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	fc61 5678 	lw	v1,22136\(at\)
[ 0-9a-f]+:	fc81 567c 	lw	a0,22140\(at\)
[ 0-9a-f]+:	fc64 0000 	lw	v1,0\(a0\)
[ 0-9a-f]+:	fc84 0004 	lw	a0,4\(a0\)
[ 0-9a-f]+:	fc64 0000 	lw	v1,0\(a0\)
[ 0-9a-f]+:	fc84 0004 	lw	a0,4\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
[ 0-9a-f]+:	fc84 8004 	lw	a0,-32764\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	fc61 ffff 	lw	v1,-1\(at\)
[ 0-9a-f]+:	fc81 0003 	lw	a0,3\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
[ 0-9a-f]+:	fc84 8004 	lw	a0,-32764\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	fc61 0001 	lw	v1,1\(at\)
[ 0-9a-f]+:	fc81 0005 	lw	a0,5\(at\)
[ 0-9a-f]+:	fc64 8001 	lw	v1,-32767\(a0\)
[ 0-9a-f]+:	fc84 8005 	lw	a0,-32763\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
[ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
[ 0-9a-f]+:	fc64 ffff 	lw	v1,-1\(a0\)
[ 0-9a-f]+:	fc84 0003 	lw	a0,3\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0024 0950 	addu	at,a0,at
[ 0-9a-f]+:	fc61 5678 	lw	v1,22136\(at\)
[ 0-9a-f]+:	fc81 567c 	lw	a0,22140\(at\)
[ 0-9a-f]+:	4700      	jraddiusp	0
[ 0-9a-f]+:	4701      	jraddiusp	4
[ 0-9a-f]+:	4702      	jraddiusp	8
[ 0-9a-f]+:	4703      	jraddiusp	12
[ 0-9a-f]+:	4704      	jraddiusp	16
[ 0-9a-f]+:	4705      	jraddiusp	20
[ 0-9a-f]+:	4706      	jraddiusp	24
[ 0-9a-f]+:	4707      	jraddiusp	28
[ 0-9a-f]+:	4708      	jraddiusp	32
[ 0-9a-f]+:	4709      	jraddiusp	36
[ 0-9a-f]+:	470a      	jraddiusp	40
[ 0-9a-f]+:	471e      	jraddiusp	120
[ 0-9a-f]+:	471f      	jraddiusp	124
[ 0-9a-f]+:	2060 2000 	ldc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 2000 	ldc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 2004 	ldc2	\$3,4\(zero\)
[ 0-9a-f]+:	2060 2004 	ldc2	\$3,4\(zero\)
[ 0-9a-f]+:	2064 2000 	ldc2	\$3,0\(a0\)
[ 0-9a-f]+:	2064 2000 	ldc2	\$3,0\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 2fff 	ldc2	\$3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 2001 	ldc2	\$3,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
[ 0-9a-f]+:	2064 2fff 	ldc2	\$3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 2678 	ldc2	\$3,1656\(at\)
[ 0-9a-f]+:	2060 0000 	lwc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 0000 	lwc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 0004 	lwc2	\$3,4\(zero\)
[ 0-9a-f]+:	2060 0004 	lwc2	\$3,4\(zero\)
[ 0-9a-f]+:	2064 0000 	lwc2	\$3,0\(a0\)
[ 0-9a-f]+:	2064 0000 	lwc2	\$3,0\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 0fff 	lwc2	\$3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 0001 	lwc2	\$3,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
[ 0-9a-f]+:	2064 0fff 	lwc2	\$3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 0678 	lwc2	\$3,1656\(at\)
[ 0-9a-f]+:	00a0 4d3c 	mfc2	a1,\$0
[ 0-9a-f]+:	00a1 4d3c 	mfc2	a1,\$1
[ 0-9a-f]+:	00a2 4d3c 	mfc2	a1,\$2
[ 0-9a-f]+:	00a3 4d3c 	mfc2	a1,\$3
[ 0-9a-f]+:	00a4 4d3c 	mfc2	a1,\$4
[ 0-9a-f]+:	00a5 4d3c 	mfc2	a1,\$5
[ 0-9a-f]+:	00a6 4d3c 	mfc2	a1,\$6
[ 0-9a-f]+:	00a7 4d3c 	mfc2	a1,\$7
[ 0-9a-f]+:	00a8 4d3c 	mfc2	a1,\$8
[ 0-9a-f]+:	00a9 4d3c 	mfc2	a1,\$9
[ 0-9a-f]+:	00aa 4d3c 	mfc2	a1,\$10
[ 0-9a-f]+:	00ab 4d3c 	mfc2	a1,\$11
[ 0-9a-f]+:	00ac 4d3c 	mfc2	a1,\$12
[ 0-9a-f]+:	00ad 4d3c 	mfc2	a1,\$13
[ 0-9a-f]+:	00ae 4d3c 	mfc2	a1,\$14
[ 0-9a-f]+:	00af 4d3c 	mfc2	a1,\$15
[ 0-9a-f]+:	00b0 4d3c 	mfc2	a1,\$16
[ 0-9a-f]+:	00b1 4d3c 	mfc2	a1,\$17
[ 0-9a-f]+:	00b2 4d3c 	mfc2	a1,\$18
[ 0-9a-f]+:	00b3 4d3c 	mfc2	a1,\$19
[ 0-9a-f]+:	00b4 4d3c 	mfc2	a1,\$20
[ 0-9a-f]+:	00b5 4d3c 	mfc2	a1,\$21
[ 0-9a-f]+:	00b6 4d3c 	mfc2	a1,\$22
[ 0-9a-f]+:	00b7 4d3c 	mfc2	a1,\$23
[ 0-9a-f]+:	00b8 4d3c 	mfc2	a1,\$24
[ 0-9a-f]+:	00b9 4d3c 	mfc2	a1,\$25
[ 0-9a-f]+:	00ba 4d3c 	mfc2	a1,\$26
[ 0-9a-f]+:	00bb 4d3c 	mfc2	a1,\$27
[ 0-9a-f]+:	00bc 4d3c 	mfc2	a1,\$28
[ 0-9a-f]+:	00bd 4d3c 	mfc2	a1,\$29
[ 0-9a-f]+:	00be 4d3c 	mfc2	a1,\$30
[ 0-9a-f]+:	00bf 4d3c 	mfc2	a1,\$31
[ 0-9a-f]+:	00a0 8d3c 	mfhc2	a1,\$0
[ 0-9a-f]+:	00a1 8d3c 	mfhc2	a1,\$1
[ 0-9a-f]+:	00a2 8d3c 	mfhc2	a1,\$2
[ 0-9a-f]+:	00a3 8d3c 	mfhc2	a1,\$3
[ 0-9a-f]+:	00a4 8d3c 	mfhc2	a1,\$4
[ 0-9a-f]+:	00a5 8d3c 	mfhc2	a1,\$5
[ 0-9a-f]+:	00a6 8d3c 	mfhc2	a1,\$6
[ 0-9a-f]+:	00a7 8d3c 	mfhc2	a1,\$7
[ 0-9a-f]+:	00a8 8d3c 	mfhc2	a1,\$8
[ 0-9a-f]+:	00a9 8d3c 	mfhc2	a1,\$9
[ 0-9a-f]+:	00aa 8d3c 	mfhc2	a1,\$10
[ 0-9a-f]+:	00ab 8d3c 	mfhc2	a1,\$11
[ 0-9a-f]+:	00ac 8d3c 	mfhc2	a1,\$12
[ 0-9a-f]+:	00ad 8d3c 	mfhc2	a1,\$13
[ 0-9a-f]+:	00ae 8d3c 	mfhc2	a1,\$14
[ 0-9a-f]+:	00af 8d3c 	mfhc2	a1,\$15
[ 0-9a-f]+:	00b0 8d3c 	mfhc2	a1,\$16
[ 0-9a-f]+:	00b1 8d3c 	mfhc2	a1,\$17
[ 0-9a-f]+:	00b2 8d3c 	mfhc2	a1,\$18
[ 0-9a-f]+:	00b3 8d3c 	mfhc2	a1,\$19
[ 0-9a-f]+:	00b4 8d3c 	mfhc2	a1,\$20
[ 0-9a-f]+:	00b5 8d3c 	mfhc2	a1,\$21
[ 0-9a-f]+:	00b6 8d3c 	mfhc2	a1,\$22
[ 0-9a-f]+:	00b7 8d3c 	mfhc2	a1,\$23
[ 0-9a-f]+:	00b8 8d3c 	mfhc2	a1,\$24
[ 0-9a-f]+:	00b9 8d3c 	mfhc2	a1,\$25
[ 0-9a-f]+:	00ba 8d3c 	mfhc2	a1,\$26
[ 0-9a-f]+:	00bb 8d3c 	mfhc2	a1,\$27
[ 0-9a-f]+:	00bc 8d3c 	mfhc2	a1,\$28
[ 0-9a-f]+:	00bd 8d3c 	mfhc2	a1,\$29
[ 0-9a-f]+:	00be 8d3c 	mfhc2	a1,\$30
[ 0-9a-f]+:	00bf 8d3c 	mfhc2	a1,\$31
[ 0-9a-f]+:	00a0 5d3c 	mtc2	a1,\$0
[ 0-9a-f]+:	00a1 5d3c 	mtc2	a1,\$1
[ 0-9a-f]+:	00a2 5d3c 	mtc2	a1,\$2
[ 0-9a-f]+:	00a3 5d3c 	mtc2	a1,\$3
[ 0-9a-f]+:	00a4 5d3c 	mtc2	a1,\$4
[ 0-9a-f]+:	00a5 5d3c 	mtc2	a1,\$5
[ 0-9a-f]+:	00a6 5d3c 	mtc2	a1,\$6
[ 0-9a-f]+:	00a7 5d3c 	mtc2	a1,\$7
[ 0-9a-f]+:	00a8 5d3c 	mtc2	a1,\$8
[ 0-9a-f]+:	00a9 5d3c 	mtc2	a1,\$9
[ 0-9a-f]+:	00aa 5d3c 	mtc2	a1,\$10
[ 0-9a-f]+:	00ab 5d3c 	mtc2	a1,\$11
[ 0-9a-f]+:	00ac 5d3c 	mtc2	a1,\$12
[ 0-9a-f]+:	00ad 5d3c 	mtc2	a1,\$13
[ 0-9a-f]+:	00ae 5d3c 	mtc2	a1,\$14
[ 0-9a-f]+:	00af 5d3c 	mtc2	a1,\$15
[ 0-9a-f]+:	00b0 5d3c 	mtc2	a1,\$16
[ 0-9a-f]+:	00b1 5d3c 	mtc2	a1,\$17
[ 0-9a-f]+:	00b2 5d3c 	mtc2	a1,\$18
[ 0-9a-f]+:	00b3 5d3c 	mtc2	a1,\$19
[ 0-9a-f]+:	00b4 5d3c 	mtc2	a1,\$20
[ 0-9a-f]+:	00b5 5d3c 	mtc2	a1,\$21
[ 0-9a-f]+:	00b6 5d3c 	mtc2	a1,\$22
[ 0-9a-f]+:	00b7 5d3c 	mtc2	a1,\$23
[ 0-9a-f]+:	00b8 5d3c 	mtc2	a1,\$24
[ 0-9a-f]+:	00b9 5d3c 	mtc2	a1,\$25
[ 0-9a-f]+:	00ba 5d3c 	mtc2	a1,\$26
[ 0-9a-f]+:	00bb 5d3c 	mtc2	a1,\$27
[ 0-9a-f]+:	00bc 5d3c 	mtc2	a1,\$28
[ 0-9a-f]+:	00bd 5d3c 	mtc2	a1,\$29
[ 0-9a-f]+:	00be 5d3c 	mtc2	a1,\$30
[ 0-9a-f]+:	00bf 5d3c 	mtc2	a1,\$31
[ 0-9a-f]+:	00a0 9d3c 	mthc2	a1,\$0
[ 0-9a-f]+:	00a1 9d3c 	mthc2	a1,\$1
[ 0-9a-f]+:	00a2 9d3c 	mthc2	a1,\$2
[ 0-9a-f]+:	00a3 9d3c 	mthc2	a1,\$3
[ 0-9a-f]+:	00a4 9d3c 	mthc2	a1,\$4
[ 0-9a-f]+:	00a5 9d3c 	mthc2	a1,\$5
[ 0-9a-f]+:	00a6 9d3c 	mthc2	a1,\$6
[ 0-9a-f]+:	00a7 9d3c 	mthc2	a1,\$7
[ 0-9a-f]+:	00a8 9d3c 	mthc2	a1,\$8
[ 0-9a-f]+:	00a9 9d3c 	mthc2	a1,\$9
[ 0-9a-f]+:	00aa 9d3c 	mthc2	a1,\$10
[ 0-9a-f]+:	00ab 9d3c 	mthc2	a1,\$11
[ 0-9a-f]+:	00ac 9d3c 	mthc2	a1,\$12
[ 0-9a-f]+:	00ad 9d3c 	mthc2	a1,\$13
[ 0-9a-f]+:	00ae 9d3c 	mthc2	a1,\$14
[ 0-9a-f]+:	00af 9d3c 	mthc2	a1,\$15
[ 0-9a-f]+:	00b0 9d3c 	mthc2	a1,\$16
[ 0-9a-f]+:	00b1 9d3c 	mthc2	a1,\$17
[ 0-9a-f]+:	00b2 9d3c 	mthc2	a1,\$18
[ 0-9a-f]+:	00b3 9d3c 	mthc2	a1,\$19
[ 0-9a-f]+:	00b4 9d3c 	mthc2	a1,\$20
[ 0-9a-f]+:	00b5 9d3c 	mthc2	a1,\$21
[ 0-9a-f]+:	00b6 9d3c 	mthc2	a1,\$22
[ 0-9a-f]+:	00b7 9d3c 	mthc2	a1,\$23
[ 0-9a-f]+:	00b8 9d3c 	mthc2	a1,\$24
[ 0-9a-f]+:	00b9 9d3c 	mthc2	a1,\$25
[ 0-9a-f]+:	00ba 9d3c 	mthc2	a1,\$26
[ 0-9a-f]+:	00bb 9d3c 	mthc2	a1,\$27
[ 0-9a-f]+:	00bc 9d3c 	mthc2	a1,\$28
[ 0-9a-f]+:	00bd 9d3c 	mthc2	a1,\$29
[ 0-9a-f]+:	00be 9d3c 	mthc2	a1,\$30
[ 0-9a-f]+:	00bf 9d3c 	mthc2	a1,\$31
[ 0-9a-f]+:	2060 a000 	sdc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 a000 	sdc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 a004 	sdc2	\$3,4\(zero\)
[ 0-9a-f]+:	2060 a004 	sdc2	\$3,4\(zero\)
[ 0-9a-f]+:	2064 a000 	sdc2	\$3,0\(a0\)
[ 0-9a-f]+:	2064 a000 	sdc2	\$3,0\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 afff 	sdc2	\$3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 a001 	sdc2	\$3,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
[ 0-9a-f]+:	2064 afff 	sdc2	\$3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 a678 	sdc2	\$3,1656\(at\)
[ 0-9a-f]+:	2060 8000 	swc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 8000 	swc2	\$3,0\(zero\)
[ 0-9a-f]+:	2060 8004 	swc2	\$3,4\(zero\)
[ 0-9a-f]+:	2060 8004 	swc2	\$3,4\(zero\)
[ 0-9a-f]+:	2064 8000 	swc2	\$3,0\(a0\)
[ 0-9a-f]+:	2064 8000 	swc2	\$3,0\(a0\)
[ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 8fff 	swc2	\$3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 8001 	swc2	\$3,1\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
[ 0-9a-f]+:	2064 8fff 	swc2	\$3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	2061 8678 	swc2	\$3,1656\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
[ 0-9a-f]+:	3043 0000 	addiu	v0,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6042 3000 	ll	v0,0\(v0\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 b000 	sc	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 0000 	lwl	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 1000 	lwr	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 8000 	swl	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 9000 	swr	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2201 0000 	lwc2	\$16,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2201 8000 	swc2	\$16,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 0000 	lwl	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 1000 	lwr	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 8000 	swl	v0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6041 9000 	swr	v0,0\(at\)
[ 0-9a-f]+:	03ff db7c 	sdbbp	0x3ff
[ 0-9a-f]+:	03ff 937c 	wait	0x3ff
[ 0-9a-f]+:	03ff 8b7c 	syscall	0x3ff
[ 0-9a-f]+:	03ff fffa 	cop2	0x7fffff
[ 0-9a-f]+:	0000 0000 	nop

[0-9a-f]+ <fp_test>:
[ 0-9a-f]+:	5400 01a0 	prefx	0x0,zero\(zero\)
[ 0-9a-f]+:	5402 01a0 	prefx	0x0,zero\(v0\)
[ 0-9a-f]+:	541f 01a0 	prefx	0x0,zero\(ra\)
[ 0-9a-f]+:	545f 01a0 	prefx	0x0,v0\(ra\)
[ 0-9a-f]+:	57ff 01a0 	prefx	0x0,ra\(ra\)
[ 0-9a-f]+:	57ff 09a0 	prefx	0x1,ra\(ra\)
[ 0-9a-f]+:	57ff 11a0 	prefx	0x2,ra\(ra\)
[ 0-9a-f]+:	57ff f9a0 	prefx	0x1f,ra\(ra\)
[ 0-9a-f]+:	5401 037b 	abs\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 037b 	abs\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 037b 	abs\.s	\$f2,\$f2
[ 0-9a-f]+:	5442 037b 	abs\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 237b 	abs\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 237b 	abs\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 237b 	abs\.d	\$f2,\$f2
[ 0-9a-f]+:	5442 237b 	abs\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 437b 	abs\.ps	\$f0,\$f1
[ 0-9a-f]+:	57df 437b 	abs\.ps	\$f30,\$f31
[ 0-9a-f]+:	5442 437b 	abs\.ps	\$f2,\$f2
[ 0-9a-f]+:	5442 437b 	abs\.ps	\$f2,\$f2
[ 0-9a-f]+:	5441 0030 	add\.s	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e830 	add\.s	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e830 	add\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e830 	add\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0130 	add\.d	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e930 	add\.d	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e930 	add\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e930 	add\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0230 	add\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe ea30 	add\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd ea30 	add\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd ea30 	add\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0019 	alnv\.ps	\$f0,\$f1,\$f2,zero
[ 0-9a-f]+:	5441 0099 	alnv\.ps	\$f0,\$f1,\$f2,v0
[ 0-9a-f]+:	5441 07d9 	alnv\.ps	\$f0,\$f1,\$f2,ra
[ 0-9a-f]+:	57fe efd9 	alnv\.ps	\$f29,\$f30,\$f31,ra
[ 0-9a-f]+:	4380 fffe 	bc1f	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	57fd efd9 	alnv\.ps	\$f29,\$f29,\$f31,ra
[ 0-9a-f]+:	4380 fffe 	bc1f	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4384 fffe 	bc1f	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4388 fffe 	bc1f	\$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	438c fffe 	bc1f	\$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4390 fffe 	bc1f	\$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4394 fffe 	bc1f	\$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4398 fffe 	bc1f	\$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	439c fffe 	bc1f	\$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43a0 fffe 	bc1t	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43a0 fffe 	bc1t	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43a4 fffe 	bc1t	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43a8 fffe 	bc1t	\$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43ac fffe 	bc1t	\$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43b0 fffe 	bc1t	\$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43b4 fffe 	bc1t	\$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43b8 fffe 	bc1t	\$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	43bc fffe 	bc1t	\$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	5420 043c 	c\.f\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 043c 	c\.f\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 043c 	c\.f\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 243c 	c\.f\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e43c 	c\.f\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 003c 	c\.f\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 003c 	c\.f\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 003c 	c\.f\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 203c 	c\.f\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e03c 	c\.f\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 083c 	c\.f\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 083c 	c\.f\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 083c 	c\.f\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 483c 	c\.f\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c83c 	c\.f\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 047c 	c\.un\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 047c 	c\.un\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 047c 	c\.un\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 247c 	c\.un\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e47c 	c\.un\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 007c 	c\.un\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 007c 	c\.un\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 007c 	c\.un\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 207c 	c\.un\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e07c 	c\.un\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 087c 	c\.un\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 087c 	c\.un\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 087c 	c\.un\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 487c 	c\.un\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c87c 	c\.un\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 04bc 	c\.eq\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 04bc 	c\.eq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 04bc 	c\.eq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 24bc 	c\.eq\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e4bc 	c\.eq\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 00bc 	c\.eq\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 00bc 	c\.eq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 00bc 	c\.eq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 20bc 	c\.eq\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e0bc 	c\.eq\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 08bc 	c\.eq\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 08bc 	c\.eq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 08bc 	c\.eq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 48bc 	c\.eq\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c8bc 	c\.eq\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 04fc 	c\.ueq\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 04fc 	c\.ueq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 04fc 	c\.ueq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 24fc 	c\.ueq\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e4fc 	c\.ueq\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 00fc 	c\.ueq\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 00fc 	c\.ueq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 00fc 	c\.ueq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 20fc 	c\.ueq\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e0fc 	c\.ueq\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 08fc 	c\.ueq\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 08fc 	c\.ueq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 08fc 	c\.ueq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 48fc 	c\.ueq\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c8fc 	c\.ueq\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 053c 	c\.olt\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 053c 	c\.olt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 053c 	c\.olt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 253c 	c\.olt\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e53c 	c\.olt\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 013c 	c\.olt\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 013c 	c\.olt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 013c 	c\.olt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 213c 	c\.olt\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e13c 	c\.olt\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 093c 	c\.olt\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 093c 	c\.olt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 093c 	c\.olt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 493c 	c\.olt\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c93c 	c\.olt\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 057c 	c\.ult\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 057c 	c\.ult\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 057c 	c\.ult\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 257c 	c\.ult\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e57c 	c\.ult\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 017c 	c\.ult\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 017c 	c\.ult\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 017c 	c\.ult\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 217c 	c\.ult\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e17c 	c\.ult\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 097c 	c\.ult\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 097c 	c\.ult\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 097c 	c\.ult\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 497c 	c\.ult\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c97c 	c\.ult\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 05bc 	c\.ole\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 05bc 	c\.ole\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 05bc 	c\.ole\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 25bc 	c\.ole\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e5bc 	c\.ole\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 01bc 	c\.ole\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 01bc 	c\.ole\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 01bc 	c\.ole\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 21bc 	c\.ole\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e1bc 	c\.ole\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 09bc 	c\.ole\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 09bc 	c\.ole\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 09bc 	c\.ole\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 49bc 	c\.ole\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c9bc 	c\.ole\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 05fc 	c\.ule\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 05fc 	c\.ule\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 05fc 	c\.ule\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 25fc 	c\.ule\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e5fc 	c\.ule\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 01fc 	c\.ule\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 01fc 	c\.ule\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 01fc 	c\.ule\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 21fc 	c\.ule\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e1fc 	c\.ule\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 09fc 	c\.ule\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 09fc 	c\.ule\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 09fc 	c\.ule\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 49fc 	c\.ule\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe c9fc 	c\.ule\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 063c 	c\.sf\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 063c 	c\.sf\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 063c 	c\.sf\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 263c 	c\.sf\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e63c 	c\.sf\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 023c 	c\.sf\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 023c 	c\.sf\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 023c 	c\.sf\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 223c 	c\.sf\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e23c 	c\.sf\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0a3c 	c\.sf\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0a3c 	c\.sf\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0a3c 	c\.sf\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4a3c 	c\.sf\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe ca3c 	c\.sf\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 067c 	c\.ngle\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 067c 	c\.ngle\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 067c 	c\.ngle\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 267c 	c\.ngle\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e67c 	c\.ngle\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 027c 	c\.ngle\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 027c 	c\.ngle\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 027c 	c\.ngle\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 227c 	c\.ngle\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e27c 	c\.ngle\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0a7c 	c\.ngle\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0a7c 	c\.ngle\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0a7c 	c\.ngle\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4a7c 	c\.ngle\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe ca7c 	c\.ngle\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 06bc 	c\.seq\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 06bc 	c\.seq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 06bc 	c\.seq\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 26bc 	c\.seq\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e6bc 	c\.seq\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 02bc 	c\.seq\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 02bc 	c\.seq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 02bc 	c\.seq\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 22bc 	c\.seq\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e2bc 	c\.seq\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0abc 	c\.seq\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0abc 	c\.seq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0abc 	c\.seq\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4abc 	c\.seq\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cabc 	c\.seq\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 06fc 	c\.ngl\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 06fc 	c\.ngl\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 06fc 	c\.ngl\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 26fc 	c\.ngl\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e6fc 	c\.ngl\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 02fc 	c\.ngl\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 02fc 	c\.ngl\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 02fc 	c\.ngl\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 22fc 	c\.ngl\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e2fc 	c\.ngl\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0afc 	c\.ngl\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0afc 	c\.ngl\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0afc 	c\.ngl\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4afc 	c\.ngl\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cafc 	c\.ngl\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 073c 	c\.lt\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 073c 	c\.lt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 073c 	c\.lt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 273c 	c\.lt\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e73c 	c\.lt\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 033c 	c\.lt\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 033c 	c\.lt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 033c 	c\.lt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 233c 	c\.lt\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e33c 	c\.lt\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0b3c 	c\.lt\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0b3c 	c\.lt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0b3c 	c\.lt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4b3c 	c\.lt\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cb3c 	c\.lt\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 077c 	c\.nge\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 077c 	c\.nge\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 077c 	c\.nge\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 277c 	c\.nge\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e77c 	c\.nge\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 037c 	c\.nge\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 037c 	c\.nge\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 037c 	c\.nge\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 237c 	c\.nge\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e37c 	c\.nge\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0b7c 	c\.nge\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0b7c 	c\.nge\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0b7c 	c\.nge\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4b7c 	c\.nge\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cb7c 	c\.nge\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 07bc 	c\.le\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 07bc 	c\.le\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 07bc 	c\.le\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 27bc 	c\.le\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e7bc 	c\.le\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 03bc 	c\.le\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 03bc 	c\.le\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 03bc 	c\.le\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 23bc 	c\.le\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e3bc 	c\.le\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0bbc 	c\.le\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0bbc 	c\.le\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0bbc 	c\.le\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4bbc 	c\.le\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cbbc 	c\.le\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5420 07fc 	c\.ngt\.d	\$f0,\$f1
[ 0-9a-f]+:	57fe 07fc 	c\.ngt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 07fc 	c\.ngt\.d	\$f30,\$f31
[ 0-9a-f]+:	57fe 27fc 	c\.ngt\.d	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e7fc 	c\.ngt\.d	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 03fc 	c\.ngt\.s	\$f0,\$f1
[ 0-9a-f]+:	57fe 03fc 	c\.ngt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 03fc 	c\.ngt\.s	\$f30,\$f31
[ 0-9a-f]+:	57fe 23fc 	c\.ngt\.s	\$fcc1,\$f30,\$f31
[ 0-9a-f]+:	57fe e3fc 	c\.ngt\.s	\$fcc7,\$f30,\$f31
[ 0-9a-f]+:	5420 0bfc 	c\.ngt\.ps	\$f0,\$f1
[ 0-9a-f]+:	57fe 0bfc 	c\.ngt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 0bfc 	c\.ngt\.ps	\$f30,\$f31
[ 0-9a-f]+:	57fe 4bfc 	c\.ngt\.ps	\$fcc2,\$f30,\$f31
[ 0-9a-f]+:	57fe cbfc 	c\.ngt\.ps	\$fcc6,\$f30,\$f31
[ 0-9a-f]+:	5401 533b 	ceil\.l\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 533b 	ceil\.l\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 533b 	ceil\.l\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 133b 	ceil\.l\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 133b 	ceil\.l\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 133b 	ceil\.l\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 5b3b 	ceil\.w\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 5b3b 	ceil\.w\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 5b3b 	ceil\.w\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 1b3b 	ceil\.w\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 1b3b 	ceil\.w\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 1b3b 	ceil\.w\.s	\$f2,\$f2
[ 0-9a-f]+:	54a0 103b 	cfc1	a1,c1_fir
[ 0-9a-f]+:	54a1 103b 	cfc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 103b 	cfc1	a1,\$2
[ 0-9a-f]+:	54a3 103b 	cfc1	a1,\$3
[ 0-9a-f]+:	54a4 103b 	cfc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 103b 	cfc1	a1,\$5
[ 0-9a-f]+:	54a6 103b 	cfc1	a1,\$6
[ 0-9a-f]+:	54a7 103b 	cfc1	a1,\$7
[ 0-9a-f]+:	54a8 103b 	cfc1	a1,\$8
[ 0-9a-f]+:	54a9 103b 	cfc1	a1,\$9
[ 0-9a-f]+:	54aa 103b 	cfc1	a1,\$10
[ 0-9a-f]+:	54ab 103b 	cfc1	a1,\$11
[ 0-9a-f]+:	54ac 103b 	cfc1	a1,\$12
[ 0-9a-f]+:	54ad 103b 	cfc1	a1,\$13
[ 0-9a-f]+:	54ae 103b 	cfc1	a1,\$14
[ 0-9a-f]+:	54af 103b 	cfc1	a1,\$15
[ 0-9a-f]+:	54b0 103b 	cfc1	a1,\$16
[ 0-9a-f]+:	54b1 103b 	cfc1	a1,\$17
[ 0-9a-f]+:	54b2 103b 	cfc1	a1,\$18
[ 0-9a-f]+:	54b3 103b 	cfc1	a1,\$19
[ 0-9a-f]+:	54b4 103b 	cfc1	a1,\$20
[ 0-9a-f]+:	54b5 103b 	cfc1	a1,\$21
[ 0-9a-f]+:	54b6 103b 	cfc1	a1,\$22
[ 0-9a-f]+:	54b7 103b 	cfc1	a1,\$23
[ 0-9a-f]+:	54b8 103b 	cfc1	a1,\$24
[ 0-9a-f]+:	54b9 103b 	cfc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 103b 	cfc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 103b 	cfc1	a1,\$27
[ 0-9a-f]+:	54bc 103b 	cfc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 103b 	cfc1	a1,\$29
[ 0-9a-f]+:	54be 103b 	cfc1	a1,\$30
[ 0-9a-f]+:	54bf 103b 	cfc1	a1,c1_fcsr
[ 0-9a-f]+:	54a0 103b 	cfc1	a1,c1_fir
[ 0-9a-f]+:	54a1 103b 	cfc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 103b 	cfc1	a1,\$2
[ 0-9a-f]+:	54a3 103b 	cfc1	a1,\$3
[ 0-9a-f]+:	54a4 103b 	cfc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 103b 	cfc1	a1,\$5
[ 0-9a-f]+:	54a6 103b 	cfc1	a1,\$6
[ 0-9a-f]+:	54a7 103b 	cfc1	a1,\$7
[ 0-9a-f]+:	54a8 103b 	cfc1	a1,\$8
[ 0-9a-f]+:	54a9 103b 	cfc1	a1,\$9
[ 0-9a-f]+:	54aa 103b 	cfc1	a1,\$10
[ 0-9a-f]+:	54ab 103b 	cfc1	a1,\$11
[ 0-9a-f]+:	54ac 103b 	cfc1	a1,\$12
[ 0-9a-f]+:	54ad 103b 	cfc1	a1,\$13
[ 0-9a-f]+:	54ae 103b 	cfc1	a1,\$14
[ 0-9a-f]+:	54af 103b 	cfc1	a1,\$15
[ 0-9a-f]+:	54b0 103b 	cfc1	a1,\$16
[ 0-9a-f]+:	54b1 103b 	cfc1	a1,\$17
[ 0-9a-f]+:	54b2 103b 	cfc1	a1,\$18
[ 0-9a-f]+:	54b3 103b 	cfc1	a1,\$19
[ 0-9a-f]+:	54b4 103b 	cfc1	a1,\$20
[ 0-9a-f]+:	54b5 103b 	cfc1	a1,\$21
[ 0-9a-f]+:	54b6 103b 	cfc1	a1,\$22
[ 0-9a-f]+:	54b7 103b 	cfc1	a1,\$23
[ 0-9a-f]+:	54b8 103b 	cfc1	a1,\$24
[ 0-9a-f]+:	54b9 103b 	cfc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 103b 	cfc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 103b 	cfc1	a1,\$27
[ 0-9a-f]+:	54bc 103b 	cfc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 103b 	cfc1	a1,\$29
[ 0-9a-f]+:	54be 103b 	cfc1	a1,\$30
[ 0-9a-f]+:	54bf 103b 	cfc1	a1,c1_fcsr
[ 0-9a-f]+:	00a0 cd3c 	cfc2	a1,\$0
[ 0-9a-f]+:	00a1 cd3c 	cfc2	a1,\$1
[ 0-9a-f]+:	00a2 cd3c 	cfc2	a1,\$2
[ 0-9a-f]+:	00a3 cd3c 	cfc2	a1,\$3
[ 0-9a-f]+:	00a4 cd3c 	cfc2	a1,\$4
[ 0-9a-f]+:	00a5 cd3c 	cfc2	a1,\$5
[ 0-9a-f]+:	00a6 cd3c 	cfc2	a1,\$6
[ 0-9a-f]+:	00a7 cd3c 	cfc2	a1,\$7
[ 0-9a-f]+:	00a8 cd3c 	cfc2	a1,\$8
[ 0-9a-f]+:	00a9 cd3c 	cfc2	a1,\$9
[ 0-9a-f]+:	00aa cd3c 	cfc2	a1,\$10
[ 0-9a-f]+:	00ab cd3c 	cfc2	a1,\$11
[ 0-9a-f]+:	00ac cd3c 	cfc2	a1,\$12
[ 0-9a-f]+:	00ad cd3c 	cfc2	a1,\$13
[ 0-9a-f]+:	00ae cd3c 	cfc2	a1,\$14
[ 0-9a-f]+:	00af cd3c 	cfc2	a1,\$15
[ 0-9a-f]+:	00b0 cd3c 	cfc2	a1,\$16
[ 0-9a-f]+:	00b1 cd3c 	cfc2	a1,\$17
[ 0-9a-f]+:	00b2 cd3c 	cfc2	a1,\$18
[ 0-9a-f]+:	00b3 cd3c 	cfc2	a1,\$19
[ 0-9a-f]+:	00b4 cd3c 	cfc2	a1,\$20
[ 0-9a-f]+:	00b5 cd3c 	cfc2	a1,\$21
[ 0-9a-f]+:	00b6 cd3c 	cfc2	a1,\$22
[ 0-9a-f]+:	00b7 cd3c 	cfc2	a1,\$23
[ 0-9a-f]+:	00b8 cd3c 	cfc2	a1,\$24
[ 0-9a-f]+:	00b9 cd3c 	cfc2	a1,\$25
[ 0-9a-f]+:	00ba cd3c 	cfc2	a1,\$26
[ 0-9a-f]+:	00bb cd3c 	cfc2	a1,\$27
[ 0-9a-f]+:	00bc cd3c 	cfc2	a1,\$28
[ 0-9a-f]+:	00bd cd3c 	cfc2	a1,\$29
[ 0-9a-f]+:	00be cd3c 	cfc2	a1,\$30
[ 0-9a-f]+:	00bf cd3c 	cfc2	a1,\$31
[ 0-9a-f]+:	54a0 183b 	ctc1	a1,c1_fir
[ 0-9a-f]+:	54a1 183b 	ctc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 183b 	ctc1	a1,\$2
[ 0-9a-f]+:	54a3 183b 	ctc1	a1,\$3
[ 0-9a-f]+:	54a4 183b 	ctc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 183b 	ctc1	a1,\$5
[ 0-9a-f]+:	54a6 183b 	ctc1	a1,\$6
[ 0-9a-f]+:	54a7 183b 	ctc1	a1,\$7
[ 0-9a-f]+:	54a8 183b 	ctc1	a1,\$8
[ 0-9a-f]+:	54a9 183b 	ctc1	a1,\$9
[ 0-9a-f]+:	54aa 183b 	ctc1	a1,\$10
[ 0-9a-f]+:	54ab 183b 	ctc1	a1,\$11
[ 0-9a-f]+:	54ac 183b 	ctc1	a1,\$12
[ 0-9a-f]+:	54ad 183b 	ctc1	a1,\$13
[ 0-9a-f]+:	54ae 183b 	ctc1	a1,\$14
[ 0-9a-f]+:	54af 183b 	ctc1	a1,\$15
[ 0-9a-f]+:	54b0 183b 	ctc1	a1,\$16
[ 0-9a-f]+:	54b1 183b 	ctc1	a1,\$17
[ 0-9a-f]+:	54b2 183b 	ctc1	a1,\$18
[ 0-9a-f]+:	54b3 183b 	ctc1	a1,\$19
[ 0-9a-f]+:	54b4 183b 	ctc1	a1,\$20
[ 0-9a-f]+:	54b5 183b 	ctc1	a1,\$21
[ 0-9a-f]+:	54b6 183b 	ctc1	a1,\$22
[ 0-9a-f]+:	54b7 183b 	ctc1	a1,\$23
[ 0-9a-f]+:	54b8 183b 	ctc1	a1,\$24
[ 0-9a-f]+:	54b9 183b 	ctc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 183b 	ctc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 183b 	ctc1	a1,\$27
[ 0-9a-f]+:	54bc 183b 	ctc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 183b 	ctc1	a1,\$29
[ 0-9a-f]+:	54be 183b 	ctc1	a1,\$30
[ 0-9a-f]+:	54bf 183b 	ctc1	a1,c1_fcsr
[ 0-9a-f]+:	54a0 183b 	ctc1	a1,c1_fir
[ 0-9a-f]+:	54a1 183b 	ctc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 183b 	ctc1	a1,\$2
[ 0-9a-f]+:	54a3 183b 	ctc1	a1,\$3
[ 0-9a-f]+:	54a4 183b 	ctc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 183b 	ctc1	a1,\$5
[ 0-9a-f]+:	54a6 183b 	ctc1	a1,\$6
[ 0-9a-f]+:	54a7 183b 	ctc1	a1,\$7
[ 0-9a-f]+:	54a8 183b 	ctc1	a1,\$8
[ 0-9a-f]+:	54a9 183b 	ctc1	a1,\$9
[ 0-9a-f]+:	54aa 183b 	ctc1	a1,\$10
[ 0-9a-f]+:	54ab 183b 	ctc1	a1,\$11
[ 0-9a-f]+:	54ac 183b 	ctc1	a1,\$12
[ 0-9a-f]+:	54ad 183b 	ctc1	a1,\$13
[ 0-9a-f]+:	54ae 183b 	ctc1	a1,\$14
[ 0-9a-f]+:	54af 183b 	ctc1	a1,\$15
[ 0-9a-f]+:	54b0 183b 	ctc1	a1,\$16
[ 0-9a-f]+:	54b1 183b 	ctc1	a1,\$17
[ 0-9a-f]+:	54b2 183b 	ctc1	a1,\$18
[ 0-9a-f]+:	54b3 183b 	ctc1	a1,\$19
[ 0-9a-f]+:	54b4 183b 	ctc1	a1,\$20
[ 0-9a-f]+:	54b5 183b 	ctc1	a1,\$21
[ 0-9a-f]+:	54b6 183b 	ctc1	a1,\$22
[ 0-9a-f]+:	54b7 183b 	ctc1	a1,\$23
[ 0-9a-f]+:	54b8 183b 	ctc1	a1,\$24
[ 0-9a-f]+:	54b9 183b 	ctc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 183b 	ctc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 183b 	ctc1	a1,\$27
[ 0-9a-f]+:	54bc 183b 	ctc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 183b 	ctc1	a1,\$29
[ 0-9a-f]+:	54be 183b 	ctc1	a1,\$30
[ 0-9a-f]+:	54bf 183b 	ctc1	a1,c1_fcsr
[ 0-9a-f]+:	00a0 dd3c 	ctc2	a1,\$0
[ 0-9a-f]+:	00a1 dd3c 	ctc2	a1,\$1
[ 0-9a-f]+:	00a2 dd3c 	ctc2	a1,\$2
[ 0-9a-f]+:	00a3 dd3c 	ctc2	a1,\$3
[ 0-9a-f]+:	00a4 dd3c 	ctc2	a1,\$4
[ 0-9a-f]+:	00a5 dd3c 	ctc2	a1,\$5
[ 0-9a-f]+:	00a6 dd3c 	ctc2	a1,\$6
[ 0-9a-f]+:	00a7 dd3c 	ctc2	a1,\$7
[ 0-9a-f]+:	00a8 dd3c 	ctc2	a1,\$8
[ 0-9a-f]+:	00a9 dd3c 	ctc2	a1,\$9
[ 0-9a-f]+:	00aa dd3c 	ctc2	a1,\$10
[ 0-9a-f]+:	00ab dd3c 	ctc2	a1,\$11
[ 0-9a-f]+:	00ac dd3c 	ctc2	a1,\$12
[ 0-9a-f]+:	00ad dd3c 	ctc2	a1,\$13
[ 0-9a-f]+:	00ae dd3c 	ctc2	a1,\$14
[ 0-9a-f]+:	00af dd3c 	ctc2	a1,\$15
[ 0-9a-f]+:	00b0 dd3c 	ctc2	a1,\$16
[ 0-9a-f]+:	00b1 dd3c 	ctc2	a1,\$17
[ 0-9a-f]+:	00b2 dd3c 	ctc2	a1,\$18
[ 0-9a-f]+:	00b3 dd3c 	ctc2	a1,\$19
[ 0-9a-f]+:	00b4 dd3c 	ctc2	a1,\$20
[ 0-9a-f]+:	00b5 dd3c 	ctc2	a1,\$21
[ 0-9a-f]+:	00b6 dd3c 	ctc2	a1,\$22
[ 0-9a-f]+:	00b7 dd3c 	ctc2	a1,\$23
[ 0-9a-f]+:	00b8 dd3c 	ctc2	a1,\$24
[ 0-9a-f]+:	00b9 dd3c 	ctc2	a1,\$25
[ 0-9a-f]+:	00ba dd3c 	ctc2	a1,\$26
[ 0-9a-f]+:	00bb dd3c 	ctc2	a1,\$27
[ 0-9a-f]+:	00bc dd3c 	ctc2	a1,\$28
[ 0-9a-f]+:	00bd dd3c 	ctc2	a1,\$29
[ 0-9a-f]+:	00be dd3c 	ctc2	a1,\$30
[ 0-9a-f]+:	00bf dd3c 	ctc2	a1,\$31
[ 0-9a-f]+:	5401 537b 	cvt\.d\.l	\$f0,\$f1
[ 0-9a-f]+:	57df 537b 	cvt\.d\.l	\$f30,\$f31
[ 0-9a-f]+:	5442 537b 	cvt\.d\.l	\$f2,\$f2
[ 0-9a-f]+:	5401 137b 	cvt\.d\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 137b 	cvt\.d\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 137b 	cvt\.d\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 337b 	cvt\.d\.w	\$f0,\$f1
[ 0-9a-f]+:	57df 337b 	cvt\.d\.w	\$f30,\$f31
[ 0-9a-f]+:	5442 337b 	cvt\.d\.w	\$f2,\$f2
[ 0-9a-f]+:	5401 013b 	cvt\.l\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 013b 	cvt\.l\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 013b 	cvt\.l\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 413b 	cvt\.l\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 413b 	cvt\.l\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 413b 	cvt\.l\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 5b7b 	cvt\.s\.l	\$f0,\$f1
[ 0-9a-f]+:	57df 5b7b 	cvt\.s\.l	\$f30,\$f31
[ 0-9a-f]+:	5442 5b7b 	cvt\.s\.l	\$f2,\$f2
[ 0-9a-f]+:	5401 1b7b 	cvt\.s\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 1b7b 	cvt\.s\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 1b7b 	cvt\.s\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 3b7b 	cvt\.s\.w	\$f0,\$f1
[ 0-9a-f]+:	57df 3b7b 	cvt\.s\.w	\$f30,\$f31
[ 0-9a-f]+:	5442 3b7b 	cvt\.s\.w	\$f2,\$f2
[ 0-9a-f]+:	5401 213b 	cvt\.s\.pl	\$f0,\$f1
[ 0-9a-f]+:	57df 213b 	cvt\.s\.pl	\$f30,\$f31
[ 0-9a-f]+:	5442 213b 	cvt\.s\.pl	\$f2,\$f2
[ 0-9a-f]+:	5401 293b 	cvt\.s\.pu	\$f0,\$f1
[ 0-9a-f]+:	57df 293b 	cvt\.s\.pu	\$f30,\$f31
[ 0-9a-f]+:	5442 293b 	cvt\.s\.pu	\$f2,\$f2
[ 0-9a-f]+:	5401 093b 	cvt\.w\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 093b 	cvt\.w\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 093b 	cvt\.w\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 493b 	cvt\.w\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 493b 	cvt\.w\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 493b 	cvt\.w\.d	\$f2,\$f2
[ 0-9a-f]+:	5441 0180 	cvt\.ps\.s	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e980 	cvt\.ps\.s	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57fd e980 	cvt\.ps\.s	\$f29,\$f29,\$f31
[ 0-9a-f]+:	57fd e980 	cvt\.ps\.s	\$f29,\$f29,\$f31
[ 0-9a-f]+:	5441 01f0 	div\.d	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e9f0 	div\.d	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e9f0 	div\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e9f0 	div\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 00f0 	div\.s	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e8f0 	div\.s	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e8f0 	div\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e8f0 	div\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5401 433b 	floor\.l\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 433b 	floor\.l\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 433b 	floor\.l\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 033b 	floor\.l\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 033b 	floor\.l\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 033b 	floor\.l\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 4b3b 	floor\.w\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 4b3b 	floor\.w\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 4b3b 	floor\.w\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 0b3b 	floor\.w\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 0b3b 	floor\.w\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 0b3b 	floor\.w\.s	\$f2,\$f2
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 ffff 	ldc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
[ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0001 	ldc1	\$f3,1\(at\)
[ 0-9a-f]+:	bc64 8001 	ldc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
[ 0-9a-f]+:	bc64 ffff 	ldc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 5678 	ldc1	\$f3,22136\(at\)
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 ffff 	ldc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
[ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0001 	ldc1	\$f3,1\(at\)
[ 0-9a-f]+:	bc64 8001 	ldc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
[ 0-9a-f]+:	bc64 ffff 	ldc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	bc61 5678 	ldc1	\$f3,22136\(at\)
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
[ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	5400 00c8 	ldxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 00c8 	ldxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 00c8 	ldxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 00c8 	ldxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 00c8 	ldxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 08c8 	ldxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 10c8 	ldxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f8c8 	ldxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	5400 0148 	luxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 0148 	luxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 0148 	luxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 0148 	luxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 0148 	luxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 0948 	luxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 1148 	luxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f948 	luxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
[ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
[ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
[ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
[ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
[ 0-9a-f]+:	5400 0048 	lwxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 0048 	lwxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 0048 	lwxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 0048 	lwxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 0048 	lwxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 0848 	lwxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 1048 	lwxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f848 	lwxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	5462 0049 	madd\.d	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e749 	madd\.d	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0041 	madd\.s	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e741 	madd\.s	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0051 	madd\.ps	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e751 	madd\.ps	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	54a0 203b 	mfc1	a1,\$f0
[ 0-9a-f]+:	54a1 203b 	mfc1	a1,\$f1
[ 0-9a-f]+:	54a2 203b 	mfc1	a1,\$f2
[ 0-9a-f]+:	54a3 203b 	mfc1	a1,\$f3
[ 0-9a-f]+:	54a4 203b 	mfc1	a1,\$f4
[ 0-9a-f]+:	54a5 203b 	mfc1	a1,\$f5
[ 0-9a-f]+:	54a6 203b 	mfc1	a1,\$f6
[ 0-9a-f]+:	54a7 203b 	mfc1	a1,\$f7
[ 0-9a-f]+:	54a8 203b 	mfc1	a1,\$f8
[ 0-9a-f]+:	54a9 203b 	mfc1	a1,\$f9
[ 0-9a-f]+:	54aa 203b 	mfc1	a1,\$f10
[ 0-9a-f]+:	54ab 203b 	mfc1	a1,\$f11
[ 0-9a-f]+:	54ac 203b 	mfc1	a1,\$f12
[ 0-9a-f]+:	54ad 203b 	mfc1	a1,\$f13
[ 0-9a-f]+:	54ae 203b 	mfc1	a1,\$f14
[ 0-9a-f]+:	54af 203b 	mfc1	a1,\$f15
[ 0-9a-f]+:	54b0 203b 	mfc1	a1,\$f16
[ 0-9a-f]+:	54b1 203b 	mfc1	a1,\$f17
[ 0-9a-f]+:	54b2 203b 	mfc1	a1,\$f18
[ 0-9a-f]+:	54b3 203b 	mfc1	a1,\$f19
[ 0-9a-f]+:	54b4 203b 	mfc1	a1,\$f20
[ 0-9a-f]+:	54b5 203b 	mfc1	a1,\$f21
[ 0-9a-f]+:	54b6 203b 	mfc1	a1,\$f22
[ 0-9a-f]+:	54b7 203b 	mfc1	a1,\$f23
[ 0-9a-f]+:	54b8 203b 	mfc1	a1,\$f24
[ 0-9a-f]+:	54b9 203b 	mfc1	a1,\$f25
[ 0-9a-f]+:	54ba 203b 	mfc1	a1,\$f26
[ 0-9a-f]+:	54bb 203b 	mfc1	a1,\$f27
[ 0-9a-f]+:	54bc 203b 	mfc1	a1,\$f28
[ 0-9a-f]+:	54bd 203b 	mfc1	a1,\$f29
[ 0-9a-f]+:	54be 203b 	mfc1	a1,\$f30
[ 0-9a-f]+:	54bf 203b 	mfc1	a1,\$f31
[ 0-9a-f]+:	54a0 203b 	mfc1	a1,\$f0
[ 0-9a-f]+:	54a1 203b 	mfc1	a1,\$f1
[ 0-9a-f]+:	54a2 203b 	mfc1	a1,\$f2
[ 0-9a-f]+:	54a3 203b 	mfc1	a1,\$f3
[ 0-9a-f]+:	54a4 203b 	mfc1	a1,\$f4
[ 0-9a-f]+:	54a5 203b 	mfc1	a1,\$f5
[ 0-9a-f]+:	54a6 203b 	mfc1	a1,\$f6
[ 0-9a-f]+:	54a7 203b 	mfc1	a1,\$f7
[ 0-9a-f]+:	54a8 203b 	mfc1	a1,\$f8
[ 0-9a-f]+:	54a9 203b 	mfc1	a1,\$f9
[ 0-9a-f]+:	54aa 203b 	mfc1	a1,\$f10
[ 0-9a-f]+:	54ab 203b 	mfc1	a1,\$f11
[ 0-9a-f]+:	54ac 203b 	mfc1	a1,\$f12
[ 0-9a-f]+:	54ad 203b 	mfc1	a1,\$f13
[ 0-9a-f]+:	54ae 203b 	mfc1	a1,\$f14
[ 0-9a-f]+:	54af 203b 	mfc1	a1,\$f15
[ 0-9a-f]+:	54b0 203b 	mfc1	a1,\$f16
[ 0-9a-f]+:	54b1 203b 	mfc1	a1,\$f17
[ 0-9a-f]+:	54b2 203b 	mfc1	a1,\$f18
[ 0-9a-f]+:	54b3 203b 	mfc1	a1,\$f19
[ 0-9a-f]+:	54b4 203b 	mfc1	a1,\$f20
[ 0-9a-f]+:	54b5 203b 	mfc1	a1,\$f21
[ 0-9a-f]+:	54b6 203b 	mfc1	a1,\$f22
[ 0-9a-f]+:	54b7 203b 	mfc1	a1,\$f23
[ 0-9a-f]+:	54b8 203b 	mfc1	a1,\$f24
[ 0-9a-f]+:	54b9 203b 	mfc1	a1,\$f25
[ 0-9a-f]+:	54ba 203b 	mfc1	a1,\$f26
[ 0-9a-f]+:	54bb 203b 	mfc1	a1,\$f27
[ 0-9a-f]+:	54bc 203b 	mfc1	a1,\$f28
[ 0-9a-f]+:	54bd 203b 	mfc1	a1,\$f29
[ 0-9a-f]+:	54be 203b 	mfc1	a1,\$f30
[ 0-9a-f]+:	54bf 203b 	mfc1	a1,\$f31
[ 0-9a-f]+:	54a0 303b 	mfhc1	a1,\$f0
[ 0-9a-f]+:	54a1 303b 	mfhc1	a1,\$f1
[ 0-9a-f]+:	54a2 303b 	mfhc1	a1,\$f2
[ 0-9a-f]+:	54a3 303b 	mfhc1	a1,\$f3
[ 0-9a-f]+:	54a4 303b 	mfhc1	a1,\$f4
[ 0-9a-f]+:	54a5 303b 	mfhc1	a1,\$f5
[ 0-9a-f]+:	54a6 303b 	mfhc1	a1,\$f6
[ 0-9a-f]+:	54a7 303b 	mfhc1	a1,\$f7
[ 0-9a-f]+:	54a8 303b 	mfhc1	a1,\$f8
[ 0-9a-f]+:	54a9 303b 	mfhc1	a1,\$f9
[ 0-9a-f]+:	54aa 303b 	mfhc1	a1,\$f10
[ 0-9a-f]+:	54ab 303b 	mfhc1	a1,\$f11
[ 0-9a-f]+:	54ac 303b 	mfhc1	a1,\$f12
[ 0-9a-f]+:	54ad 303b 	mfhc1	a1,\$f13
[ 0-9a-f]+:	54ae 303b 	mfhc1	a1,\$f14
[ 0-9a-f]+:	54af 303b 	mfhc1	a1,\$f15
[ 0-9a-f]+:	54b0 303b 	mfhc1	a1,\$f16
[ 0-9a-f]+:	54b1 303b 	mfhc1	a1,\$f17
[ 0-9a-f]+:	54b2 303b 	mfhc1	a1,\$f18
[ 0-9a-f]+:	54b3 303b 	mfhc1	a1,\$f19
[ 0-9a-f]+:	54b4 303b 	mfhc1	a1,\$f20
[ 0-9a-f]+:	54b5 303b 	mfhc1	a1,\$f21
[ 0-9a-f]+:	54b6 303b 	mfhc1	a1,\$f22
[ 0-9a-f]+:	54b7 303b 	mfhc1	a1,\$f23
[ 0-9a-f]+:	54b8 303b 	mfhc1	a1,\$f24
[ 0-9a-f]+:	54b9 303b 	mfhc1	a1,\$f25
[ 0-9a-f]+:	54ba 303b 	mfhc1	a1,\$f26
[ 0-9a-f]+:	54bb 303b 	mfhc1	a1,\$f27
[ 0-9a-f]+:	54bc 303b 	mfhc1	a1,\$f28
[ 0-9a-f]+:	54bd 303b 	mfhc1	a1,\$f29
[ 0-9a-f]+:	54be 303b 	mfhc1	a1,\$f30
[ 0-9a-f]+:	54bf 303b 	mfhc1	a1,\$f31
[ 0-9a-f]+:	54a0 303b 	mfhc1	a1,\$f0
[ 0-9a-f]+:	54a1 303b 	mfhc1	a1,\$f1
[ 0-9a-f]+:	54a2 303b 	mfhc1	a1,\$f2
[ 0-9a-f]+:	54a3 303b 	mfhc1	a1,\$f3
[ 0-9a-f]+:	54a4 303b 	mfhc1	a1,\$f4
[ 0-9a-f]+:	54a5 303b 	mfhc1	a1,\$f5
[ 0-9a-f]+:	54a6 303b 	mfhc1	a1,\$f6
[ 0-9a-f]+:	54a7 303b 	mfhc1	a1,\$f7
[ 0-9a-f]+:	54a8 303b 	mfhc1	a1,\$f8
[ 0-9a-f]+:	54a9 303b 	mfhc1	a1,\$f9
[ 0-9a-f]+:	54aa 303b 	mfhc1	a1,\$f10
[ 0-9a-f]+:	54ab 303b 	mfhc1	a1,\$f11
[ 0-9a-f]+:	54ac 303b 	mfhc1	a1,\$f12
[ 0-9a-f]+:	54ad 303b 	mfhc1	a1,\$f13
[ 0-9a-f]+:	54ae 303b 	mfhc1	a1,\$f14
[ 0-9a-f]+:	54af 303b 	mfhc1	a1,\$f15
[ 0-9a-f]+:	54b0 303b 	mfhc1	a1,\$f16
[ 0-9a-f]+:	54b1 303b 	mfhc1	a1,\$f17
[ 0-9a-f]+:	54b2 303b 	mfhc1	a1,\$f18
[ 0-9a-f]+:	54b3 303b 	mfhc1	a1,\$f19
[ 0-9a-f]+:	54b4 303b 	mfhc1	a1,\$f20
[ 0-9a-f]+:	54b5 303b 	mfhc1	a1,\$f21
[ 0-9a-f]+:	54b6 303b 	mfhc1	a1,\$f22
[ 0-9a-f]+:	54b7 303b 	mfhc1	a1,\$f23
[ 0-9a-f]+:	54b8 303b 	mfhc1	a1,\$f24
[ 0-9a-f]+:	54b9 303b 	mfhc1	a1,\$f25
[ 0-9a-f]+:	54ba 303b 	mfhc1	a1,\$f26
[ 0-9a-f]+:	54bb 303b 	mfhc1	a1,\$f27
[ 0-9a-f]+:	54bc 303b 	mfhc1	a1,\$f28
[ 0-9a-f]+:	54bd 303b 	mfhc1	a1,\$f29
[ 0-9a-f]+:	54be 303b 	mfhc1	a1,\$f30
[ 0-9a-f]+:	54bf 303b 	mfhc1	a1,\$f31
[ 0-9a-f]+:	5401 207b 	mov\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 207b 	mov\.d	\$f30,\$f31
[ 0-9a-f]+:	5401 007b 	mov\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 007b 	mov\.s	\$f30,\$f31
[ 0-9a-f]+:	5401 407b 	mov\.ps	\$f0,\$f1
[ 0-9a-f]+:	57df 407b 	mov\.ps	\$f30,\$f31
[ 0-9a-f]+:	5443 0220 	movf\.d	\$f2,\$f3,\$fcc0
[ 0-9a-f]+:	5443 2220 	movf\.d	\$f2,\$f3,\$fcc1
[ 0-9a-f]+:	5443 4220 	movf\.d	\$f2,\$f3,\$fcc2
[ 0-9a-f]+:	5443 6220 	movf\.d	\$f2,\$f3,\$fcc3
[ 0-9a-f]+:	5443 8220 	movf\.d	\$f2,\$f3,\$fcc4
[ 0-9a-f]+:	5443 a220 	movf\.d	\$f2,\$f3,\$fcc5
[ 0-9a-f]+:	5443 c220 	movf\.d	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	5443 e220 	movf\.d	\$f2,\$f3,\$fcc7
[ 0-9a-f]+:	57df e220 	movf\.d	\$f30,\$f31,\$fcc7
[ 0-9a-f]+:	5443 0020 	movf\.s	\$f2,\$f3,\$fcc0
[ 0-9a-f]+:	5443 2020 	movf\.s	\$f2,\$f3,\$fcc1
[ 0-9a-f]+:	5443 4020 	movf\.s	\$f2,\$f3,\$fcc2
[ 0-9a-f]+:	5443 6020 	movf\.s	\$f2,\$f3,\$fcc3
[ 0-9a-f]+:	5443 8020 	movf\.s	\$f2,\$f3,\$fcc4
[ 0-9a-f]+:	5443 a020 	movf\.s	\$f2,\$f3,\$fcc5
[ 0-9a-f]+:	5443 c020 	movf\.s	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	5443 e020 	movf\.s	\$f2,\$f3,\$fcc7
[ 0-9a-f]+:	57df e020 	movf\.s	\$f30,\$f31,\$fcc7
[ 0-9a-f]+:	5443 0420 	movf\.ps	\$f2,\$f3,\$fcc0
[ 0-9a-f]+:	5443 4420 	movf\.ps	\$f2,\$f3,\$fcc2
[ 0-9a-f]+:	5443 8420 	movf\.ps	\$f2,\$f3,\$fcc4
[ 0-9a-f]+:	5443 c420 	movf\.ps	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	5443 c420 	movf\.ps	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	57df c420 	movf\.ps	\$f30,\$f31,\$fcc6
[ 0-9a-f]+:	5403 1138 	movn\.d	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1138 	movn\.d	\$f2,\$f3,ra
[ 0-9a-f]+:	5403 1038 	movn\.s	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1038 	movn\.s	\$f2,\$f3,ra
[ 0-9a-f]+:	5403 1238 	movn\.ps	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1238 	movn\.ps	\$f2,\$f3,ra
[ 0-9a-f]+:	5443 0460 	movt\.ps	\$f2,\$f3,\$fcc0
[ 0-9a-f]+:	5443 4460 	movt\.ps	\$f2,\$f3,\$fcc2
[ 0-9a-f]+:	5443 8460 	movt\.ps	\$f2,\$f3,\$fcc4
[ 0-9a-f]+:	5443 c460 	movt\.ps	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	5443 c460 	movt\.ps	\$f2,\$f3,\$fcc6
[ 0-9a-f]+:	57df c460 	movt\.ps	\$f30,\$f31,\$fcc6
[ 0-9a-f]+:	5403 1178 	movz\.d	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1178 	movz\.d	\$f2,\$f3,ra
[ 0-9a-f]+:	5403 1078 	movz\.s	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1078 	movz\.s	\$f2,\$f3,ra
[ 0-9a-f]+:	5403 1278 	movz\.ps	\$f2,\$f3,zero
[ 0-9a-f]+:	57e3 1278 	movz\.ps	\$f2,\$f3,ra
[ 0-9a-f]+:	5462 0069 	msub\.d	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e769 	msub\.d	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0061 	msub\.s	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e761 	msub\.s	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0071 	msub\.ps	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e771 	msub\.ps	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	54a0 283b 	mtc1	a1,\$f0
[ 0-9a-f]+:	54a1 283b 	mtc1	a1,\$f1
[ 0-9a-f]+:	54a2 283b 	mtc1	a1,\$f2
[ 0-9a-f]+:	54a3 283b 	mtc1	a1,\$f3
[ 0-9a-f]+:	54a4 283b 	mtc1	a1,\$f4
[ 0-9a-f]+:	54a5 283b 	mtc1	a1,\$f5
[ 0-9a-f]+:	54a6 283b 	mtc1	a1,\$f6
[ 0-9a-f]+:	54a7 283b 	mtc1	a1,\$f7
[ 0-9a-f]+:	54a8 283b 	mtc1	a1,\$f8
[ 0-9a-f]+:	54a9 283b 	mtc1	a1,\$f9
[ 0-9a-f]+:	54aa 283b 	mtc1	a1,\$f10
[ 0-9a-f]+:	54ab 283b 	mtc1	a1,\$f11
[ 0-9a-f]+:	54ac 283b 	mtc1	a1,\$f12
[ 0-9a-f]+:	54ad 283b 	mtc1	a1,\$f13
[ 0-9a-f]+:	54ae 283b 	mtc1	a1,\$f14
[ 0-9a-f]+:	54af 283b 	mtc1	a1,\$f15
[ 0-9a-f]+:	54b0 283b 	mtc1	a1,\$f16
[ 0-9a-f]+:	54b1 283b 	mtc1	a1,\$f17
[ 0-9a-f]+:	54b2 283b 	mtc1	a1,\$f18
[ 0-9a-f]+:	54b3 283b 	mtc1	a1,\$f19
[ 0-9a-f]+:	54b4 283b 	mtc1	a1,\$f20
[ 0-9a-f]+:	54b5 283b 	mtc1	a1,\$f21
[ 0-9a-f]+:	54b6 283b 	mtc1	a1,\$f22
[ 0-9a-f]+:	54b7 283b 	mtc1	a1,\$f23
[ 0-9a-f]+:	54b8 283b 	mtc1	a1,\$f24
[ 0-9a-f]+:	54b9 283b 	mtc1	a1,\$f25
[ 0-9a-f]+:	54ba 283b 	mtc1	a1,\$f26
[ 0-9a-f]+:	54bb 283b 	mtc1	a1,\$f27
[ 0-9a-f]+:	54bc 283b 	mtc1	a1,\$f28
[ 0-9a-f]+:	54bd 283b 	mtc1	a1,\$f29
[ 0-9a-f]+:	54be 283b 	mtc1	a1,\$f30
[ 0-9a-f]+:	54bf 283b 	mtc1	a1,\$f31
[ 0-9a-f]+:	54a0 283b 	mtc1	a1,\$f0
[ 0-9a-f]+:	54a1 283b 	mtc1	a1,\$f1
[ 0-9a-f]+:	54a2 283b 	mtc1	a1,\$f2
[ 0-9a-f]+:	54a3 283b 	mtc1	a1,\$f3
[ 0-9a-f]+:	54a4 283b 	mtc1	a1,\$f4
[ 0-9a-f]+:	54a5 283b 	mtc1	a1,\$f5
[ 0-9a-f]+:	54a6 283b 	mtc1	a1,\$f6
[ 0-9a-f]+:	54a7 283b 	mtc1	a1,\$f7
[ 0-9a-f]+:	54a8 283b 	mtc1	a1,\$f8
[ 0-9a-f]+:	54a9 283b 	mtc1	a1,\$f9
[ 0-9a-f]+:	54aa 283b 	mtc1	a1,\$f10
[ 0-9a-f]+:	54ab 283b 	mtc1	a1,\$f11
[ 0-9a-f]+:	54ac 283b 	mtc1	a1,\$f12
[ 0-9a-f]+:	54ad 283b 	mtc1	a1,\$f13
[ 0-9a-f]+:	54ae 283b 	mtc1	a1,\$f14
[ 0-9a-f]+:	54af 283b 	mtc1	a1,\$f15
[ 0-9a-f]+:	54b0 283b 	mtc1	a1,\$f16
[ 0-9a-f]+:	54b1 283b 	mtc1	a1,\$f17
[ 0-9a-f]+:	54b2 283b 	mtc1	a1,\$f18
[ 0-9a-f]+:	54b3 283b 	mtc1	a1,\$f19
[ 0-9a-f]+:	54b4 283b 	mtc1	a1,\$f20
[ 0-9a-f]+:	54b5 283b 	mtc1	a1,\$f21
[ 0-9a-f]+:	54b6 283b 	mtc1	a1,\$f22
[ 0-9a-f]+:	54b7 283b 	mtc1	a1,\$f23
[ 0-9a-f]+:	54b8 283b 	mtc1	a1,\$f24
[ 0-9a-f]+:	54b9 283b 	mtc1	a1,\$f25
[ 0-9a-f]+:	54ba 283b 	mtc1	a1,\$f26
[ 0-9a-f]+:	54bb 283b 	mtc1	a1,\$f27
[ 0-9a-f]+:	54bc 283b 	mtc1	a1,\$f28
[ 0-9a-f]+:	54bd 283b 	mtc1	a1,\$f29
[ 0-9a-f]+:	54be 283b 	mtc1	a1,\$f30
[ 0-9a-f]+:	54bf 283b 	mtc1	a1,\$f31
[ 0-9a-f]+:	54a0 383b 	mthc1	a1,\$f0
[ 0-9a-f]+:	54a1 383b 	mthc1	a1,\$f1
[ 0-9a-f]+:	54a2 383b 	mthc1	a1,\$f2
[ 0-9a-f]+:	54a3 383b 	mthc1	a1,\$f3
[ 0-9a-f]+:	54a4 383b 	mthc1	a1,\$f4
[ 0-9a-f]+:	54a5 383b 	mthc1	a1,\$f5
[ 0-9a-f]+:	54a6 383b 	mthc1	a1,\$f6
[ 0-9a-f]+:	54a7 383b 	mthc1	a1,\$f7
[ 0-9a-f]+:	54a8 383b 	mthc1	a1,\$f8
[ 0-9a-f]+:	54a9 383b 	mthc1	a1,\$f9
[ 0-9a-f]+:	54aa 383b 	mthc1	a1,\$f10
[ 0-9a-f]+:	54ab 383b 	mthc1	a1,\$f11
[ 0-9a-f]+:	54ac 383b 	mthc1	a1,\$f12
[ 0-9a-f]+:	54ad 383b 	mthc1	a1,\$f13
[ 0-9a-f]+:	54ae 383b 	mthc1	a1,\$f14
[ 0-9a-f]+:	54af 383b 	mthc1	a1,\$f15
[ 0-9a-f]+:	54b0 383b 	mthc1	a1,\$f16
[ 0-9a-f]+:	54b1 383b 	mthc1	a1,\$f17
[ 0-9a-f]+:	54b2 383b 	mthc1	a1,\$f18
[ 0-9a-f]+:	54b3 383b 	mthc1	a1,\$f19
[ 0-9a-f]+:	54b4 383b 	mthc1	a1,\$f20
[ 0-9a-f]+:	54b5 383b 	mthc1	a1,\$f21
[ 0-9a-f]+:	54b6 383b 	mthc1	a1,\$f22
[ 0-9a-f]+:	54b7 383b 	mthc1	a1,\$f23
[ 0-9a-f]+:	54b8 383b 	mthc1	a1,\$f24
[ 0-9a-f]+:	54b9 383b 	mthc1	a1,\$f25
[ 0-9a-f]+:	54ba 383b 	mthc1	a1,\$f26
[ 0-9a-f]+:	54bb 383b 	mthc1	a1,\$f27
[ 0-9a-f]+:	54bc 383b 	mthc1	a1,\$f28
[ 0-9a-f]+:	54bd 383b 	mthc1	a1,\$f29
[ 0-9a-f]+:	54be 383b 	mthc1	a1,\$f30
[ 0-9a-f]+:	54bf 383b 	mthc1	a1,\$f31
[ 0-9a-f]+:	54a0 383b 	mthc1	a1,\$f0
[ 0-9a-f]+:	54a1 383b 	mthc1	a1,\$f1
[ 0-9a-f]+:	54a2 383b 	mthc1	a1,\$f2
[ 0-9a-f]+:	54a3 383b 	mthc1	a1,\$f3
[ 0-9a-f]+:	54a4 383b 	mthc1	a1,\$f4
[ 0-9a-f]+:	54a5 383b 	mthc1	a1,\$f5
[ 0-9a-f]+:	54a6 383b 	mthc1	a1,\$f6
[ 0-9a-f]+:	54a7 383b 	mthc1	a1,\$f7
[ 0-9a-f]+:	54a8 383b 	mthc1	a1,\$f8
[ 0-9a-f]+:	54a9 383b 	mthc1	a1,\$f9
[ 0-9a-f]+:	54aa 383b 	mthc1	a1,\$f10
[ 0-9a-f]+:	54ab 383b 	mthc1	a1,\$f11
[ 0-9a-f]+:	54ac 383b 	mthc1	a1,\$f12
[ 0-9a-f]+:	54ad 383b 	mthc1	a1,\$f13
[ 0-9a-f]+:	54ae 383b 	mthc1	a1,\$f14
[ 0-9a-f]+:	54af 383b 	mthc1	a1,\$f15
[ 0-9a-f]+:	54b0 383b 	mthc1	a1,\$f16
[ 0-9a-f]+:	54b1 383b 	mthc1	a1,\$f17
[ 0-9a-f]+:	54b2 383b 	mthc1	a1,\$f18
[ 0-9a-f]+:	54b3 383b 	mthc1	a1,\$f19
[ 0-9a-f]+:	54b4 383b 	mthc1	a1,\$f20
[ 0-9a-f]+:	54b5 383b 	mthc1	a1,\$f21
[ 0-9a-f]+:	54b6 383b 	mthc1	a1,\$f22
[ 0-9a-f]+:	54b7 383b 	mthc1	a1,\$f23
[ 0-9a-f]+:	54b8 383b 	mthc1	a1,\$f24
[ 0-9a-f]+:	54b9 383b 	mthc1	a1,\$f25
[ 0-9a-f]+:	54ba 383b 	mthc1	a1,\$f26
[ 0-9a-f]+:	54bb 383b 	mthc1	a1,\$f27
[ 0-9a-f]+:	54bc 383b 	mthc1	a1,\$f28
[ 0-9a-f]+:	54bd 383b 	mthc1	a1,\$f29
[ 0-9a-f]+:	54be 383b 	mthc1	a1,\$f30
[ 0-9a-f]+:	54bf 383b 	mthc1	a1,\$f31
[ 0-9a-f]+:	5441 00b0 	mul\.s	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e8b0 	mul\.s	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e8b0 	mul\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e8b0 	mul\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 01b0 	mul\.d	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e9b0 	mul\.d	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e9b0 	mul\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e9b0 	mul\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 02b0 	mul\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe eab0 	mul\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd eab0 	mul\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd eab0 	mul\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5401 0b7b 	neg\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 0b7b 	neg\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 0b7b 	neg\.s	\$f2,\$f2
[ 0-9a-f]+:	5442 0b7b 	neg\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 2b7b 	neg\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 2b7b 	neg\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 2b7b 	neg\.d	\$f2,\$f2
[ 0-9a-f]+:	5442 2b7b 	neg\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 4b7b 	neg\.ps	\$f0,\$f1
[ 0-9a-f]+:	57df 4b7b 	neg\.ps	\$f30,\$f31
[ 0-9a-f]+:	5442 4b7b 	neg\.ps	\$f2,\$f2
[ 0-9a-f]+:	5442 4b7b 	neg\.ps	\$f2,\$f2
[ 0-9a-f]+:	5462 004a 	nmadd\.d	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e74a 	nmadd\.d	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0042 	nmadd\.s	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e742 	nmadd\.s	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0052 	nmadd\.ps	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e752 	nmadd\.ps	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 006a 	nmsub\.d	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e76a 	nmsub\.d	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0062 	nmsub\.s	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e762 	nmsub\.s	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5462 0072 	nmsub\.ps	\$f0,\$f1,\$f2,\$f3
[ 0-9a-f]+:	57fe e772 	nmsub\.ps	\$f28,\$f29,\$f30,\$f31
[ 0-9a-f]+:	5441 0080 	pll\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e880 	pll\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e880 	pll\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e880 	pll\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 00c0 	plu\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e8c0 	plu\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e8c0 	plu\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e8c0 	plu\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0100 	pul\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e900 	pul\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e900 	pul\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e900 	pul\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0140 	puu\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e940 	puu\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e940 	puu\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e940 	puu\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5401 123b 	recip\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 123b 	recip\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 123b 	recip\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 523b 	recip\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 523b 	recip\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 523b 	recip\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 333b 	round\.l\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 333b 	round\.l\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 333b 	round\.l\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 733b 	round\.l\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 733b 	round\.l\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 733b 	round\.l\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 3b3b 	round\.w\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 3b3b 	round\.w\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 3b3b 	round\.w\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 7b3b 	round\.w\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 7b3b 	round\.w\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 7b3b 	round\.w\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 023b 	rsqrt\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 023b 	rsqrt\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 023b 	rsqrt\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 423b 	rsqrt\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 423b 	rsqrt\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 423b 	rsqrt\.d	\$f2,\$f2
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 ffff 	sdc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
[ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0001 	sdc1	\$f3,1\(at\)
[ 0-9a-f]+:	b864 8001 	sdc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
[ 0-9a-f]+:	b864 ffff 	sdc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 5678 	sdc1	\$f3,22136\(at\)
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 ffff 	sdc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
[ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0001 	sdc1	\$f3,1\(at\)
[ 0-9a-f]+:	b864 8001 	sdc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
[ 0-9a-f]+:	b864 ffff 	sdc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	b861 5678 	sdc1	\$f3,22136\(at\)
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
[ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	5400 0108 	sdxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 0108 	sdxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 0108 	sdxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 0108 	sdxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 0108 	sdxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 0908 	sdxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 1108 	sdxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f908 	sdxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	5401 0a3b 	sqrt\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 0a3b 	sqrt\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 0a3b 	sqrt\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 4a3b 	sqrt\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 4a3b 	sqrt\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 4a3b 	sqrt\.d	\$f2,\$f2
[ 0-9a-f]+:	5441 0070 	sub\.s	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e870 	sub\.s	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e870 	sub\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e870 	sub\.s	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0170 	sub\.d	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe e970 	sub\.d	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd e970 	sub\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd e970 	sub\.d	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5441 0270 	sub\.ps	\$f0,\$f1,\$f2
[ 0-9a-f]+:	57fe ea70 	sub\.ps	\$f29,\$f30,\$f31
[ 0-9a-f]+:	57dd ea70 	sub\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	57dd ea70 	sub\.ps	\$f29,\$f29,\$f30
[ 0-9a-f]+:	5400 0188 	suxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 0188 	suxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 0188 	suxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 0188 	suxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 0188 	suxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 0988 	suxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 1188 	suxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f988 	suxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
[ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
[ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
[ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
[ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
[ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
[ 0-9a-f]+:	5400 0088 	swxc1	\$f0,zero\(zero\)
[ 0-9a-f]+:	5402 0088 	swxc1	\$f0,zero\(v0\)
[ 0-9a-f]+:	541f 0088 	swxc1	\$f0,zero\(ra\)
[ 0-9a-f]+:	545f 0088 	swxc1	\$f0,v0\(ra\)
[ 0-9a-f]+:	57ff 0088 	swxc1	\$f0,ra\(ra\)
[ 0-9a-f]+:	57ff 0888 	swxc1	\$f1,ra\(ra\)
[ 0-9a-f]+:	57ff 1088 	swxc1	\$f2,ra\(ra\)
[ 0-9a-f]+:	57ff f888 	swxc1	\$f31,ra\(ra\)
[ 0-9a-f]+:	5401 233b 	trunc\.l\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 233b 	trunc\.l\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 233b 	trunc\.l\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 633b 	trunc\.l\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 633b 	trunc\.l\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 633b 	trunc\.l\.d	\$f2,\$f2
[ 0-9a-f]+:	5401 2b3b 	trunc\.w\.s	\$f0,\$f1
[ 0-9a-f]+:	57df 2b3b 	trunc\.w\.s	\$f30,\$f31
[ 0-9a-f]+:	5442 2b3b 	trunc\.w\.s	\$f2,\$f2
[ 0-9a-f]+:	5401 6b3b 	trunc\.w\.d	\$f0,\$f1
[ 0-9a-f]+:	57df 6b3b 	trunc\.w\.d	\$f30,\$f31
[ 0-9a-f]+:	5442 6b3b 	trunc\.w\.d	\$f2,\$f2
[ 0-9a-f]+:	5443 017b 	movf	v0,v1,\$fcc0
[ 0-9a-f]+:	57df 017b 	movf	s8,ra,\$fcc0
[ 0-9a-f]+:	57df 217b 	movf	s8,ra,\$fcc1
[ 0-9a-f]+:	57df 417b 	movf	s8,ra,\$fcc2
[ 0-9a-f]+:	57df 617b 	movf	s8,ra,\$fcc3
[ 0-9a-f]+:	57df 817b 	movf	s8,ra,\$fcc4
[ 0-9a-f]+:	57df a17b 	movf	s8,ra,\$fcc5
[ 0-9a-f]+:	57df c17b 	movf	s8,ra,\$fcc6
[ 0-9a-f]+:	57df e17b 	movf	s8,ra,\$fcc7
[ 0-9a-f]+:	5443 097b 	movt	v0,v1,\$fcc0
[ 0-9a-f]+:	57df 097b 	movt	s8,ra,\$fcc0
[ 0-9a-f]+:	57df 297b 	movt	s8,ra,\$fcc1
[ 0-9a-f]+:	57df 497b 	movt	s8,ra,\$fcc2
[ 0-9a-f]+:	57df 697b 	movt	s8,ra,\$fcc3
[ 0-9a-f]+:	57df 897b 	movt	s8,ra,\$fcc4
[ 0-9a-f]+:	57df a97b 	movt	s8,ra,\$fcc5
[ 0-9a-f]+:	57df c97b 	movt	s8,ra,\$fcc6
[ 0-9a-f]+:	57df e97b 	movt	s8,ra,\$fcc7
[ 0-9a-f]+:	43a4 fffe 	bc1t	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4388 fffe 	bc1f	\$fcc2,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	438c fffe 	bc1f	\$fcc3,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	05d8      	addu	v1,a0,a1
[ 0-9a-f]+:	43b0 fffe 	bc1t	\$fcc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0

[0-9a-f]+ <test_mips64>:
[ 0-9a-f]+:	4043 fffe 	bgez	v1,[0-9a-f]+ <test_mips64>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c43      	move	v0,v1
[ 0-9a-f]+:	5860 1190 	dneg	v0,v1

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	5840 1190 	dneg	v0,v0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	5840 1190 	dneg	v0,v0

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	5883 1110 	dadd	v0,v1,a0
[ 0-9a-f]+:	5bfe e910 	dadd	sp,s8,ra
[ 0-9a-f]+:	5862 1110 	dadd	v0,v0,v1
[ 0-9a-f]+:	5862 1110 	dadd	v0,v0,v1
[ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
[ 0-9a-f]+:	5843 005c 	daddi	v0,v1,1
[ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
[ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
[ 0-9a-f]+:	5021 8765 	ori	at,at,0x8765
[ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
[ 0-9a-f]+:	5021 4321 	ori	at,at,0x4321
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
[ 0-9a-f]+:	5843 005c 	daddi	v0,v1,1
[ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
[ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
[ 0-9a-f]+:	5842 7fdc 	daddi	v0,v0,511
[ 0-9a-f]+:	5842 7fdc 	daddi	v0,v0,511
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
[ 0-9a-f]+:	5c43 0000 	daddiu	v0,v1,0
[ 0-9a-f]+:	5c43 8000 	daddiu	v0,v1,-32768
[ 0-9a-f]+:	5c43 7fff 	daddiu	v0,v1,32767
[ 0-9a-f]+:	5c42 7fff 	daddiu	v0,v0,32767
[ 0-9a-f]+:	5c42 7fff 	daddiu	v0,v0,32767
[ 0-9a-f]+:	5883 1150 	daddu	v0,v1,a0
[ 0-9a-f]+:	5bfe e950 	daddu	sp,s8,ra
[ 0-9a-f]+:	5862 1150 	daddu	v0,v0,v1
[ 0-9a-f]+:	5862 1150 	daddu	v0,v0,v1
[ 0-9a-f]+:	5803 1150 	move	v0,v1
[ 0-9a-f]+:	5c43 0000 	daddiu	v0,v1,0
[ 0-9a-f]+:	5c43 0001 	daddiu	v0,v1,1
[ 0-9a-f]+:	5c43 7fff 	daddiu	v0,v1,32767
[ 0-9a-f]+:	5c43 8000 	daddiu	v0,v1,-32768
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	5823 1150 	daddu	v0,v1,at
[ 0-9a-f]+:	5843 4b3c 	dclo	v0,v1
[ 0-9a-f]+:	5862 4b3c 	dclo	v1,v0
[ 0-9a-f]+:	5843 5b3c 	dclz	v0,v1
[ 0-9a-f]+:	5862 5b3c 	dclz	v1,v0
[ 0-9a-f]+:	5862 ab3c 	ddiv	zero,v0,v1
[ 0-9a-f]+:	5bfe ab3c 	ddiv	zero,s8,ra
[ 0-9a-f]+:	5860 ab3c 	ddiv	zero,zero,v1
[ 0-9a-f]+:	5be0 ab3c 	ddiv	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5883 ab3c 	ddiv	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
[ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	5880 1990 	dneg	v1,a0
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	5862 bb3c 	ddivu	zero,v0,v1
[ 0-9a-f]+:	5bfe bb3c 	ddivu	zero,s8,ra
[ 0-9a-f]+:	5860 bb3c 	ddivu	zero,zero,v1
[ 0-9a-f]+:	5be0 bb3c 	ddivu	zero,zero,ra
[ 0-9a-f]+:	b400 fffe 	bnez	zero,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5803 bb3c 	ddivu	zero,v1,zero
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	b404 fffe 	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5883 bb3c 	ddivu	zero,v1,a0
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c64      	move	v1,a0
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
[ 0-9a-f]+:	4643      	mflo	v1
[ 0-9a-f]+:	5843 07ec 	dext	v0,v1,0x1f,0x1
[ 0-9a-f]+:	5843 f82c 	dext	v0,v1,0x0,0x20
[ 0-9a-f]+:	5843 07e4 	dext	v0,v1,0x1f,0x21
[ 0-9a-f]+:	5843 07e4 	dext	v0,v1,0x1f,0x21
[ 0-9a-f]+:	5843 4854 	dext	v0,v1,0x21,0xa
[ 0-9a-f]+:	5843 4854 	dext	v0,v1,0x21,0xa
[ 0-9a-f]+:	5843 ffcc 	dins	v0,v1,0x1f,0x1
[ 0-9a-f]+:	5843 f80c 	dins	v0,v1,0x0,0x20
[ 0-9a-f]+:	5843 ffc4 	dins	v0,v1,0x1f,0x21
[ 0-9a-f]+:	5843 ffc4 	dins	v0,v1,0x1f,0x21
[ 0-9a-f]+:	5843 5074 	dins	v0,v1,0x21,0xa
[ 0-9a-f]+:	5843 5074 	dins	v0,v1,0x21,0xa
[ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
[ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
[ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
[ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	3040 8000 	li	v0,-32768
[ 0-9a-f]+:	3040 7fff 	li	v0,32767
[ 0-9a-f]+:	5040 ffff 	li	v0,0xffff
[ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
[ 0-9a-f]+:	5042 5678 	ori	v0,v0,0x5678
[ 0-9a-f]+:	5840 00fc 	dmfc0	v0,c0_index
[ 0-9a-f]+:	5841 00fc 	dmfc0	v0,c0_random
[ 0-9a-f]+:	5842 00fc 	dmfc0	v0,c0_entrylo0
[ 0-9a-f]+:	5843 00fc 	dmfc0	v0,c0_entrylo1
[ 0-9a-f]+:	5844 00fc 	dmfc0	v0,c0_context
[ 0-9a-f]+:	5845 00fc 	dmfc0	v0,c0_pagemask
[ 0-9a-f]+:	5846 00fc 	dmfc0	v0,c0_wired
[ 0-9a-f]+:	5847 00fc 	dmfc0	v0,c0_hwrena
[ 0-9a-f]+:	5848 00fc 	dmfc0	v0,c0_badvaddr
[ 0-9a-f]+:	5849 00fc 	dmfc0	v0,c0_count
[ 0-9a-f]+:	584a 00fc 	dmfc0	v0,c0_entryhi
[ 0-9a-f]+:	584b 00fc 	dmfc0	v0,c0_compare
[ 0-9a-f]+:	584c 00fc 	dmfc0	v0,c0_status
[ 0-9a-f]+:	584d 00fc 	dmfc0	v0,c0_cause
[ 0-9a-f]+:	584e 00fc 	dmfc0	v0,c0_epc
[ 0-9a-f]+:	584f 00fc 	dmfc0	v0,c0_prid
[ 0-9a-f]+:	5850 00fc 	dmfc0	v0,c0_config
[ 0-9a-f]+:	5851 00fc 	dmfc0	v0,c0_lladdr
[ 0-9a-f]+:	5852 00fc 	dmfc0	v0,c0_watchlo
[ 0-9a-f]+:	5853 00fc 	dmfc0	v0,c0_watchhi
[ 0-9a-f]+:	5854 00fc 	dmfc0	v0,c0_xcontext
[ 0-9a-f]+:	5855 00fc 	dmfc0	v0,\$21
[ 0-9a-f]+:	5856 00fc 	dmfc0	v0,\$22
[ 0-9a-f]+:	5857 00fc 	dmfc0	v0,c0_debug
[ 0-9a-f]+:	5858 00fc 	dmfc0	v0,c0_depc
[ 0-9a-f]+:	5859 00fc 	dmfc0	v0,c0_perfcnt
[ 0-9a-f]+:	585a 00fc 	dmfc0	v0,c0_errctl
[ 0-9a-f]+:	585b 00fc 	dmfc0	v0,c0_cacheerr
[ 0-9a-f]+:	585c 00fc 	dmfc0	v0,c0_taglo
[ 0-9a-f]+:	585d 00fc 	dmfc0	v0,c0_taghi
[ 0-9a-f]+:	585e 00fc 	dmfc0	v0,c0_errorepc
[ 0-9a-f]+:	585f 00fc 	dmfc0	v0,c0_desave
[ 0-9a-f]+:	5840 00fc 	dmfc0	v0,c0_index
[ 0-9a-f]+:	5840 08fc 	dmfc0	v0,c0_mvpcontrol
[ 0-9a-f]+:	5840 10fc 	dmfc0	v0,c0_mvpconf0
[ 0-9a-f]+:	5840 18fc 	dmfc0	v0,c0_mvpconf1
[ 0-9a-f]+:	5840 20fc 	dmfc0	v0,\$0,4
[ 0-9a-f]+:	5840 28fc 	dmfc0	v0,\$0,5
[ 0-9a-f]+:	5840 30fc 	dmfc0	v0,\$0,6
[ 0-9a-f]+:	5840 38fc 	dmfc0	v0,\$0,7
[ 0-9a-f]+:	5841 00fc 	dmfc0	v0,c0_random
[ 0-9a-f]+:	5841 08fc 	dmfc0	v0,c0_vpecontrol
[ 0-9a-f]+:	5841 10fc 	dmfc0	v0,c0_vpeconf0
[ 0-9a-f]+:	5841 18fc 	dmfc0	v0,c0_vpeconf1
[ 0-9a-f]+:	5841 20fc 	dmfc0	v0,c0_yqmask
[ 0-9a-f]+:	5841 28fc 	dmfc0	v0,c0_vpeschedule
[ 0-9a-f]+:	5841 30fc 	dmfc0	v0,c0_vpeschefback
[ 0-9a-f]+:	5841 38fc 	dmfc0	v0,\$1,7
[ 0-9a-f]+:	5842 00fc 	dmfc0	v0,c0_entrylo0
[ 0-9a-f]+:	5842 08fc 	dmfc0	v0,c0_tcstatus
[ 0-9a-f]+:	5842 10fc 	dmfc0	v0,c0_tcbind
[ 0-9a-f]+:	5842 18fc 	dmfc0	v0,c0_tcrestart
[ 0-9a-f]+:	5842 20fc 	dmfc0	v0,c0_tchalt
[ 0-9a-f]+:	5842 28fc 	dmfc0	v0,c0_tccontext
[ 0-9a-f]+:	5842 30fc 	dmfc0	v0,c0_tcschedule
[ 0-9a-f]+:	5842 38fc 	dmfc0	v0,c0_tcschefback
[ 0-9a-f]+:	5840 02fc 	dmtc0	v0,c0_index
[ 0-9a-f]+:	5841 02fc 	dmtc0	v0,c0_random
[ 0-9a-f]+:	5842 02fc 	dmtc0	v0,c0_entrylo0
[ 0-9a-f]+:	5843 02fc 	dmtc0	v0,c0_entrylo1
[ 0-9a-f]+:	5844 02fc 	dmtc0	v0,c0_context
[ 0-9a-f]+:	5845 02fc 	dmtc0	v0,c0_pagemask
[ 0-9a-f]+:	5846 02fc 	dmtc0	v0,c0_wired
[ 0-9a-f]+:	5847 02fc 	dmtc0	v0,c0_hwrena
[ 0-9a-f]+:	5848 02fc 	dmtc0	v0,c0_badvaddr
[ 0-9a-f]+:	5849 02fc 	dmtc0	v0,c0_count
[ 0-9a-f]+:	584a 02fc 	dmtc0	v0,c0_entryhi
[ 0-9a-f]+:	584b 02fc 	dmtc0	v0,c0_compare
[ 0-9a-f]+:	584c 02fc 	dmtc0	v0,c0_status
[ 0-9a-f]+:	584d 02fc 	dmtc0	v0,c0_cause
[ 0-9a-f]+:	584e 02fc 	dmtc0	v0,c0_epc
[ 0-9a-f]+:	584f 02fc 	dmtc0	v0,c0_prid
[ 0-9a-f]+:	5850 02fc 	dmtc0	v0,c0_config
[ 0-9a-f]+:	5851 02fc 	dmtc0	v0,c0_lladdr
[ 0-9a-f]+:	5852 02fc 	dmtc0	v0,c0_watchlo
[ 0-9a-f]+:	5853 02fc 	dmtc0	v0,c0_watchhi
[ 0-9a-f]+:	5854 02fc 	dmtc0	v0,c0_xcontext
[ 0-9a-f]+:	5855 02fc 	dmtc0	v0,\$21
[ 0-9a-f]+:	5856 02fc 	dmtc0	v0,\$22
[ 0-9a-f]+:	5857 02fc 	dmtc0	v0,c0_debug
[ 0-9a-f]+:	5858 02fc 	dmtc0	v0,c0_depc
[ 0-9a-f]+:	5859 02fc 	dmtc0	v0,c0_perfcnt
[ 0-9a-f]+:	585a 02fc 	dmtc0	v0,c0_errctl
[ 0-9a-f]+:	585b 02fc 	dmtc0	v0,c0_cacheerr
[ 0-9a-f]+:	585c 02fc 	dmtc0	v0,c0_taglo
[ 0-9a-f]+:	585d 02fc 	dmtc0	v0,c0_taghi
[ 0-9a-f]+:	585e 02fc 	dmtc0	v0,c0_errorepc
[ 0-9a-f]+:	585f 02fc 	dmtc0	v0,c0_desave
[ 0-9a-f]+:	5840 02fc 	dmtc0	v0,c0_index
[ 0-9a-f]+:	5840 0afc 	dmtc0	v0,c0_mvpcontrol
[ 0-9a-f]+:	5840 12fc 	dmtc0	v0,c0_mvpconf0
[ 0-9a-f]+:	5840 1afc 	dmtc0	v0,c0_mvpconf1
[ 0-9a-f]+:	5840 22fc 	dmtc0	v0,\$0,4
[ 0-9a-f]+:	5840 2afc 	dmtc0	v0,\$0,5
[ 0-9a-f]+:	5840 32fc 	dmtc0	v0,\$0,6
[ 0-9a-f]+:	5840 3afc 	dmtc0	v0,\$0,7
[ 0-9a-f]+:	5841 02fc 	dmtc0	v0,c0_random
[ 0-9a-f]+:	5841 0afc 	dmtc0	v0,c0_vpecontrol
[ 0-9a-f]+:	5841 12fc 	dmtc0	v0,c0_vpeconf0
[ 0-9a-f]+:	5841 1afc 	dmtc0	v0,c0_vpeconf1
[ 0-9a-f]+:	5841 22fc 	dmtc0	v0,c0_yqmask
[ 0-9a-f]+:	5841 2afc 	dmtc0	v0,c0_vpeschedule
[ 0-9a-f]+:	5841 32fc 	dmtc0	v0,c0_vpeschefback
[ 0-9a-f]+:	5841 3afc 	dmtc0	v0,\$1,7
[ 0-9a-f]+:	5842 02fc 	dmtc0	v0,c0_entrylo0
[ 0-9a-f]+:	5842 0afc 	dmtc0	v0,c0_tcstatus
[ 0-9a-f]+:	5842 12fc 	dmtc0	v0,c0_tcbind
[ 0-9a-f]+:	5842 1afc 	dmtc0	v0,c0_tcrestart
[ 0-9a-f]+:	5842 22fc 	dmtc0	v0,c0_tchalt
[ 0-9a-f]+:	5842 2afc 	dmtc0	v0,c0_tccontext
[ 0-9a-f]+:	5842 32fc 	dmtc0	v0,c0_tcschedule
[ 0-9a-f]+:	5842 3afc 	dmtc0	v0,c0_tcschefback
[ 0-9a-f]+:	54a0 243b 	dmfc1	a1,\$f0
[ 0-9a-f]+:	54a1 243b 	dmfc1	a1,\$f1
[ 0-9a-f]+:	54a2 243b 	dmfc1	a1,\$f2
[ 0-9a-f]+:	54a3 243b 	dmfc1	a1,\$f3
[ 0-9a-f]+:	54a4 243b 	dmfc1	a1,\$f4
[ 0-9a-f]+:	54a5 243b 	dmfc1	a1,\$f5
[ 0-9a-f]+:	54a6 243b 	dmfc1	a1,\$f6
[ 0-9a-f]+:	54a7 243b 	dmfc1	a1,\$f7
[ 0-9a-f]+:	54a8 243b 	dmfc1	a1,\$f8
[ 0-9a-f]+:	54a9 243b 	dmfc1	a1,\$f9
[ 0-9a-f]+:	54aa 243b 	dmfc1	a1,\$f10
[ 0-9a-f]+:	54ab 243b 	dmfc1	a1,\$f11
[ 0-9a-f]+:	54ac 243b 	dmfc1	a1,\$f12
[ 0-9a-f]+:	54ad 243b 	dmfc1	a1,\$f13
[ 0-9a-f]+:	54ae 243b 	dmfc1	a1,\$f14
[ 0-9a-f]+:	54af 243b 	dmfc1	a1,\$f15
[ 0-9a-f]+:	54b0 243b 	dmfc1	a1,\$f16
[ 0-9a-f]+:	54b1 243b 	dmfc1	a1,\$f17
[ 0-9a-f]+:	54b2 243b 	dmfc1	a1,\$f18
[ 0-9a-f]+:	54b3 243b 	dmfc1	a1,\$f19
[ 0-9a-f]+:	54b4 243b 	dmfc1	a1,\$f20
[ 0-9a-f]+:	54b5 243b 	dmfc1	a1,\$f21
[ 0-9a-f]+:	54b6 243b 	dmfc1	a1,\$f22
[ 0-9a-f]+:	54b7 243b 	dmfc1	a1,\$f23
[ 0-9a-f]+:	54b8 243b 	dmfc1	a1,\$f24
[ 0-9a-f]+:	54b9 243b 	dmfc1	a1,\$f25
[ 0-9a-f]+:	54ba 243b 	dmfc1	a1,\$f26
[ 0-9a-f]+:	54bb 243b 	dmfc1	a1,\$f27
[ 0-9a-f]+:	54bc 243b 	dmfc1	a1,\$f28
[ 0-9a-f]+:	54bd 243b 	dmfc1	a1,\$f29
[ 0-9a-f]+:	54be 243b 	dmfc1	a1,\$f30
[ 0-9a-f]+:	54bf 243b 	dmfc1	a1,\$f31
[ 0-9a-f]+:	54a0 243b 	dmfc1	a1,\$f0
[ 0-9a-f]+:	54a1 243b 	dmfc1	a1,\$f1
[ 0-9a-f]+:	54a2 243b 	dmfc1	a1,\$f2
[ 0-9a-f]+:	54a3 243b 	dmfc1	a1,\$f3
[ 0-9a-f]+:	54a4 243b 	dmfc1	a1,\$f4
[ 0-9a-f]+:	54a5 243b 	dmfc1	a1,\$f5
[ 0-9a-f]+:	54a6 243b 	dmfc1	a1,\$f6
[ 0-9a-f]+:	54a7 243b 	dmfc1	a1,\$f7
[ 0-9a-f]+:	54a8 243b 	dmfc1	a1,\$f8
[ 0-9a-f]+:	54a9 243b 	dmfc1	a1,\$f9
[ 0-9a-f]+:	54aa 243b 	dmfc1	a1,\$f10
[ 0-9a-f]+:	54ab 243b 	dmfc1	a1,\$f11
[ 0-9a-f]+:	54ac 243b 	dmfc1	a1,\$f12
[ 0-9a-f]+:	54ad 243b 	dmfc1	a1,\$f13
[ 0-9a-f]+:	54ae 243b 	dmfc1	a1,\$f14
[ 0-9a-f]+:	54af 243b 	dmfc1	a1,\$f15
[ 0-9a-f]+:	54b0 243b 	dmfc1	a1,\$f16
[ 0-9a-f]+:	54b1 243b 	dmfc1	a1,\$f17
[ 0-9a-f]+:	54b2 243b 	dmfc1	a1,\$f18
[ 0-9a-f]+:	54b3 243b 	dmfc1	a1,\$f19
[ 0-9a-f]+:	54b4 243b 	dmfc1	a1,\$f20
[ 0-9a-f]+:	54b5 243b 	dmfc1	a1,\$f21
[ 0-9a-f]+:	54b6 243b 	dmfc1	a1,\$f22
[ 0-9a-f]+:	54b7 243b 	dmfc1	a1,\$f23
[ 0-9a-f]+:	54b8 243b 	dmfc1	a1,\$f24
[ 0-9a-f]+:	54b9 243b 	dmfc1	a1,\$f25
[ 0-9a-f]+:	54ba 243b 	dmfc1	a1,\$f26
[ 0-9a-f]+:	54bb 243b 	dmfc1	a1,\$f27
[ 0-9a-f]+:	54bc 243b 	dmfc1	a1,\$f28
[ 0-9a-f]+:	54bd 243b 	dmfc1	a1,\$f29
[ 0-9a-f]+:	54be 243b 	dmfc1	a1,\$f30
[ 0-9a-f]+:	54bf 243b 	dmfc1	a1,\$f31
[ 0-9a-f]+:	54a0 2c3b 	dmtc1	a1,c1_fir
[ 0-9a-f]+:	54a1 2c3b 	dmtc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 2c3b 	dmtc1	a1,\$2
[ 0-9a-f]+:	54a3 2c3b 	dmtc1	a1,\$3
[ 0-9a-f]+:	54a4 2c3b 	dmtc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 2c3b 	dmtc1	a1,\$5
[ 0-9a-f]+:	54a6 2c3b 	dmtc1	a1,\$6
[ 0-9a-f]+:	54a7 2c3b 	dmtc1	a1,\$7
[ 0-9a-f]+:	54a8 2c3b 	dmtc1	a1,\$8
[ 0-9a-f]+:	54a9 2c3b 	dmtc1	a1,\$9
[ 0-9a-f]+:	54aa 2c3b 	dmtc1	a1,\$10
[ 0-9a-f]+:	54ab 2c3b 	dmtc1	a1,\$11
[ 0-9a-f]+:	54ac 2c3b 	dmtc1	a1,\$12
[ 0-9a-f]+:	54ad 2c3b 	dmtc1	a1,\$13
[ 0-9a-f]+:	54ae 2c3b 	dmtc1	a1,\$14
[ 0-9a-f]+:	54af 2c3b 	dmtc1	a1,\$15
[ 0-9a-f]+:	54b0 2c3b 	dmtc1	a1,\$16
[ 0-9a-f]+:	54b1 2c3b 	dmtc1	a1,\$17
[ 0-9a-f]+:	54b2 2c3b 	dmtc1	a1,\$18
[ 0-9a-f]+:	54b3 2c3b 	dmtc1	a1,\$19
[ 0-9a-f]+:	54b4 2c3b 	dmtc1	a1,\$20
[ 0-9a-f]+:	54b5 2c3b 	dmtc1	a1,\$21
[ 0-9a-f]+:	54b6 2c3b 	dmtc1	a1,\$22
[ 0-9a-f]+:	54b7 2c3b 	dmtc1	a1,\$23
[ 0-9a-f]+:	54b8 2c3b 	dmtc1	a1,\$24
[ 0-9a-f]+:	54b9 2c3b 	dmtc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 2c3b 	dmtc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 2c3b 	dmtc1	a1,\$27
[ 0-9a-f]+:	54bc 2c3b 	dmtc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 2c3b 	dmtc1	a1,\$29
[ 0-9a-f]+:	54be 2c3b 	dmtc1	a1,\$30
[ 0-9a-f]+:	54bf 2c3b 	dmtc1	a1,c1_fcsr
[ 0-9a-f]+:	54a0 2c3b 	dmtc1	a1,c1_fir
[ 0-9a-f]+:	54a1 2c3b 	dmtc1	a1,c1_ufr
[ 0-9a-f]+:	54a2 2c3b 	dmtc1	a1,\$2
[ 0-9a-f]+:	54a3 2c3b 	dmtc1	a1,\$3
[ 0-9a-f]+:	54a4 2c3b 	dmtc1	a1,c1_unfr
[ 0-9a-f]+:	54a5 2c3b 	dmtc1	a1,\$5
[ 0-9a-f]+:	54a6 2c3b 	dmtc1	a1,\$6
[ 0-9a-f]+:	54a7 2c3b 	dmtc1	a1,\$7
[ 0-9a-f]+:	54a8 2c3b 	dmtc1	a1,\$8
[ 0-9a-f]+:	54a9 2c3b 	dmtc1	a1,\$9
[ 0-9a-f]+:	54aa 2c3b 	dmtc1	a1,\$10
[ 0-9a-f]+:	54ab 2c3b 	dmtc1	a1,\$11
[ 0-9a-f]+:	54ac 2c3b 	dmtc1	a1,\$12
[ 0-9a-f]+:	54ad 2c3b 	dmtc1	a1,\$13
[ 0-9a-f]+:	54ae 2c3b 	dmtc1	a1,\$14
[ 0-9a-f]+:	54af 2c3b 	dmtc1	a1,\$15
[ 0-9a-f]+:	54b0 2c3b 	dmtc1	a1,\$16
[ 0-9a-f]+:	54b1 2c3b 	dmtc1	a1,\$17
[ 0-9a-f]+:	54b2 2c3b 	dmtc1	a1,\$18
[ 0-9a-f]+:	54b3 2c3b 	dmtc1	a1,\$19
[ 0-9a-f]+:	54b4 2c3b 	dmtc1	a1,\$20
[ 0-9a-f]+:	54b5 2c3b 	dmtc1	a1,\$21
[ 0-9a-f]+:	54b6 2c3b 	dmtc1	a1,\$22
[ 0-9a-f]+:	54b7 2c3b 	dmtc1	a1,\$23
[ 0-9a-f]+:	54b8 2c3b 	dmtc1	a1,\$24
[ 0-9a-f]+:	54b9 2c3b 	dmtc1	a1,c1_fccr
[ 0-9a-f]+:	54ba 2c3b 	dmtc1	a1,c1_fexr
[ 0-9a-f]+:	54bb 2c3b 	dmtc1	a1,\$27
[ 0-9a-f]+:	54bc 2c3b 	dmtc1	a1,c1_fenr
[ 0-9a-f]+:	54bd 2c3b 	dmtc1	a1,\$29
[ 0-9a-f]+:	54be 2c3b 	dmtc1	a1,\$30
[ 0-9a-f]+:	54bf 2c3b 	dmtc1	a1,c1_fcsr
[ 0-9a-f]+:	0040 6d3c 	dmfc2	v0,\$0
[ 0-9a-f]+:	0041 6d3c 	dmfc2	v0,\$1
[ 0-9a-f]+:	0042 6d3c 	dmfc2	v0,\$2
[ 0-9a-f]+:	0043 6d3c 	dmfc2	v0,\$3
[ 0-9a-f]+:	0044 6d3c 	dmfc2	v0,\$4
[ 0-9a-f]+:	0045 6d3c 	dmfc2	v0,\$5
[ 0-9a-f]+:	0046 6d3c 	dmfc2	v0,\$6
[ 0-9a-f]+:	0047 6d3c 	dmfc2	v0,\$7
[ 0-9a-f]+:	0048 6d3c 	dmfc2	v0,\$8
[ 0-9a-f]+:	0049 6d3c 	dmfc2	v0,\$9
[ 0-9a-f]+:	004a 6d3c 	dmfc2	v0,\$10
[ 0-9a-f]+:	004b 6d3c 	dmfc2	v0,\$11
[ 0-9a-f]+:	004c 6d3c 	dmfc2	v0,\$12
[ 0-9a-f]+:	004d 6d3c 	dmfc2	v0,\$13
[ 0-9a-f]+:	004e 6d3c 	dmfc2	v0,\$14
[ 0-9a-f]+:	004f 6d3c 	dmfc2	v0,\$15
[ 0-9a-f]+:	0050 6d3c 	dmfc2	v0,\$16
[ 0-9a-f]+:	0051 6d3c 	dmfc2	v0,\$17
[ 0-9a-f]+:	0052 6d3c 	dmfc2	v0,\$18
[ 0-9a-f]+:	0053 6d3c 	dmfc2	v0,\$19
[ 0-9a-f]+:	0054 6d3c 	dmfc2	v0,\$20
[ 0-9a-f]+:	0055 6d3c 	dmfc2	v0,\$21
[ 0-9a-f]+:	0056 6d3c 	dmfc2	v0,\$22
[ 0-9a-f]+:	0057 6d3c 	dmfc2	v0,\$23
[ 0-9a-f]+:	0058 6d3c 	dmfc2	v0,\$24
[ 0-9a-f]+:	0059 6d3c 	dmfc2	v0,\$25
[ 0-9a-f]+:	005a 6d3c 	dmfc2	v0,\$26
[ 0-9a-f]+:	005b 6d3c 	dmfc2	v0,\$27
[ 0-9a-f]+:	005c 6d3c 	dmfc2	v0,\$28
[ 0-9a-f]+:	005d 6d3c 	dmfc2	v0,\$29
[ 0-9a-f]+:	005e 6d3c 	dmfc2	v0,\$30
[ 0-9a-f]+:	005f 6d3c 	dmfc2	v0,\$31
[ 0-9a-f]+:	0040 7d3c 	dmtc2	v0,\$0
[ 0-9a-f]+:	0041 7d3c 	dmtc2	v0,\$1
[ 0-9a-f]+:	0042 7d3c 	dmtc2	v0,\$2
[ 0-9a-f]+:	0043 7d3c 	dmtc2	v0,\$3
[ 0-9a-f]+:	0044 7d3c 	dmtc2	v0,\$4
[ 0-9a-f]+:	0045 7d3c 	dmtc2	v0,\$5
[ 0-9a-f]+:	0046 7d3c 	dmtc2	v0,\$6
[ 0-9a-f]+:	0047 7d3c 	dmtc2	v0,\$7
[ 0-9a-f]+:	0048 7d3c 	dmtc2	v0,\$8
[ 0-9a-f]+:	0049 7d3c 	dmtc2	v0,\$9
[ 0-9a-f]+:	004a 7d3c 	dmtc2	v0,\$10
[ 0-9a-f]+:	004b 7d3c 	dmtc2	v0,\$11
[ 0-9a-f]+:	004c 7d3c 	dmtc2	v0,\$12
[ 0-9a-f]+:	004d 7d3c 	dmtc2	v0,\$13
[ 0-9a-f]+:	004e 7d3c 	dmtc2	v0,\$14
[ 0-9a-f]+:	004f 7d3c 	dmtc2	v0,\$15
[ 0-9a-f]+:	0050 7d3c 	dmtc2	v0,\$16
[ 0-9a-f]+:	0051 7d3c 	dmtc2	v0,\$17
[ 0-9a-f]+:	0052 7d3c 	dmtc2	v0,\$18
[ 0-9a-f]+:	0053 7d3c 	dmtc2	v0,\$19
[ 0-9a-f]+:	0054 7d3c 	dmtc2	v0,\$20
[ 0-9a-f]+:	0055 7d3c 	dmtc2	v0,\$21
[ 0-9a-f]+:	0056 7d3c 	dmtc2	v0,\$22
[ 0-9a-f]+:	0057 7d3c 	dmtc2	v0,\$23
[ 0-9a-f]+:	0058 7d3c 	dmtc2	v0,\$24
[ 0-9a-f]+:	0059 7d3c 	dmtc2	v0,\$25
[ 0-9a-f]+:	005a 7d3c 	dmtc2	v0,\$26
[ 0-9a-f]+:	005b 7d3c 	dmtc2	v0,\$27
[ 0-9a-f]+:	005c 7d3c 	dmtc2	v0,\$28
[ 0-9a-f]+:	005d 7d3c 	dmtc2	v0,\$29
[ 0-9a-f]+:	005e 7d3c 	dmtc2	v0,\$30
[ 0-9a-f]+:	005f 7d3c 	dmtc2	v0,\$31
[ 0-9a-f]+:	5862 8b3c 	dmult	v0,v1
[ 0-9a-f]+:	5862 9b3c 	dmultu	v0,v1
[ 0-9a-f]+:	5883 9b3c 	dmultu	v1,a0
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 8b3c 	dmult	v1,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	5883 8b3c 	dmult	v1,a0
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	5842 f888 	dsra32	v0,v0,0x1f
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	9422 fffe 	beq	v0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	3020 0004 	li	at,4
[ 0-9a-f]+:	5823 8b3c 	dmult	v1,at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	5842 f888 	dsra32	v0,v0,0x1f
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	9422 fffe 	beq	v0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	5883 9b3c 	dmultu	v1,a0
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 0004 	li	at,4
[ 0-9a-f]+:	5823 9b3c 	dmultu	v1,at
[ 0-9a-f]+:	4601      	mfhi	at
[ 0-9a-f]+:	4642      	mflo	v0
[ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	5862 ab3c 	ddiv	zero,v0,v1
[ 0-9a-f]+:	5bfe ab3c 	ddiv	zero,s8,ra
[ 0-9a-f]+:	b403 fffe 	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5860 ab3c 	ddiv	zero,zero,v1
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
[ 0-9a-f]+:	b420 fffe 	bne	zero,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	b41f fffe 	bnez	ra,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5be0 ab3c 	ddiv	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	b43f fffe 	bne	ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	3020 0001 	li	at,1
[ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
[ 0-9a-f]+:	b420 fffe 	bne	zero,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4686      	break	0x6

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	5862 bb3c 	ddivu	zero,v0,v1
[ 0-9a-f]+:	5bfe bb3c 	ddivu	zero,s8,ra
[ 0-9a-f]+:	b403 fffe 	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5860 bb3c 	ddivu	zero,zero,v1
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	b41f fffe 	bnez	ra,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
[ 0-9a-f]+:	5be0 bb3c 	ddivu	zero,zero,ra
[ 0-9a-f]+:	4687      	break	0x7

[0-9a-f]+ <.*>:
[ 0-9a-f]+:	4600      	mfhi	zero
[ 0-9a-f]+:	4687      	break	0x7
[ 0-9a-f]+:	0c60      	move	v1,zero
[ 0-9a-f]+:	3020 ffff 	li	at,-1
[ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	3020 0002 	li	at,2
[ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
[ 0-9a-f]+:	4603      	mfhi	v1
[ 0-9a-f]+:	5880 11d0 	dnegu	v0,a0
[ 0-9a-f]+:	5862 10d0 	drorv	v0,v1,v0
[ 0-9a-f]+:	5880 09d0 	dnegu	at,a0
[ 0-9a-f]+:	5841 10d0 	drorv	v0,v0,at
[ 0-9a-f]+:	5843 e0c8 	dror32	v0,v1,0x1c
[ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
[ 0-9a-f]+:	5843 20c0 	dror	v0,v1,0x4
[ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
[ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
[ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
[ 0-9a-f]+:	5880 11d0 	dnegu	v0,a0
[ 0-9a-f]+:	5862 10d0 	drorv	v0,v1,v0
[ 0-9a-f]+:	5880 09d0 	dnegu	at,a0
[ 0-9a-f]+:	5841 10d0 	drorv	v0,v0,at
[ 0-9a-f]+:	5843 e0c8 	dror32	v0,v1,0x1c
[ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
[ 0-9a-f]+:	5843 20c0 	dror	v0,v1,0x4
[ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
[ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
[ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
[ 0-9a-f]+:	5843 7b3c 	dsbh	v0,v1
[ 0-9a-f]+:	5842 7b3c 	dsbh	v0,v0
[ 0-9a-f]+:	5842 7b3c 	dsbh	v0,v0
[ 0-9a-f]+:	5843 fb3c 	dshd	v0,v1
[ 0-9a-f]+:	5842 fb3c 	dshd	v0,v0
[ 0-9a-f]+:	5842 fb3c 	dshd	v0,v0
[ 0-9a-f]+:	5864 1010 	dsllv	v0,v1,a0
[ 0-9a-f]+:	5843 f808 	dsll32	v0,v1,0x1f
[ 0-9a-f]+:	5864 1010 	dsllv	v0,v1,a0
[ 0-9a-f]+:	5843 f808 	dsll32	v0,v1,0x1f
[ 0-9a-f]+:	5843 f800 	dsll	v0,v1,0x1f
[ 0-9a-f]+:	5864 1090 	dsrav	v0,v1,a0
[ 0-9a-f]+:	5843 2088 	dsra32	v0,v1,0x4
[ 0-9a-f]+:	5864 1090 	dsrav	v0,v1,a0
[ 0-9a-f]+:	5843 2088 	dsra32	v0,v1,0x4
[ 0-9a-f]+:	5843 2080 	dsra	v0,v1,0x4
[ 0-9a-f]+:	5864 1050 	dsrlv	v0,v1,a0
[ 0-9a-f]+:	5843 f848 	dsrl32	v0,v1,0x1f
[ 0-9a-f]+:	5864 1050 	dsrlv	v0,v1,a0
[ 0-9a-f]+:	5843 2048 	dsrl32	v0,v1,0x4
[ 0-9a-f]+:	5843 2040 	dsrl	v0,v1,0x4
[ 0-9a-f]+:	5883 1190 	dsub	v0,v1,a0
[ 0-9a-f]+:	5bfe e990 	dsub	sp,s8,ra
[ 0-9a-f]+:	5862 1190 	dsub	v0,v0,v1
[ 0-9a-f]+:	5862 1190 	dsub	v0,v0,v1
[ 0-9a-f]+:	5883 11d0 	dsubu	v0,v1,a0
[ 0-9a-f]+:	5bfe e9d0 	dsubu	sp,s8,ra
[ 0-9a-f]+:	5862 11d0 	dsubu	v0,v0,v1
[ 0-9a-f]+:	5862 11d0 	dsubu	v0,v0,v1
[ 0-9a-f]+:	5c43 edcc 	daddiu	v0,v1,-4660
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 11d0 	dsubu	v0,v1,at
[ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
[ 0-9a-f]+:	5843 ffdc 	daddi	v0,v1,-1
[ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
[ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
[ 0-9a-f]+:	41a1 8888 	lui	at,0x8888
[ 0-9a-f]+:	5021 1111 	ori	at,at,0x1111
[ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
[ 0-9a-f]+:	5021 1234 	ori	at,at,0x1234
[ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
[ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
[ 0-9a-f]+:	dc40 0004 	ld	v0,4\(zero\)
[ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
[ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
[ 0-9a-f]+:	dc40 0004 	ld	v0,4\(zero\)
[ 0-9a-f]+:	dc43 0004 	ld	v0,4\(v1\)
[ 0-9a-f]+:	dc43 8000 	ld	v0,-32768\(v1\)
[ 0-9a-f]+:	dc43 7fff 	ld	v0,32767\(v1\)
[ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
[ 0-9a-f]+:	6040 4004 	ldl	v0,4\(zero\)
[ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
[ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
[ 0-9a-f]+:	6040 4004 	ldl	v0,4\(zero\)
[ 0-9a-f]+:	6043 4004 	ldl	v0,4\(v1\)
[ 0-9a-f]+:	6043 4e00 	ldl	v0,-512\(v1\)
[ 0-9a-f]+:	6043 41ff 	ldl	v0,511\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	6041 4000 	ldl	v0,0\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	6041 4678 	ldl	v0,1656\(at\)
[ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
[ 0-9a-f]+:	6040 5004 	ldr	v0,4\(zero\)
[ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
[ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
[ 0-9a-f]+:	6040 5004 	ldr	v0,4\(zero\)
[ 0-9a-f]+:	6043 5004 	ldr	v0,4\(v1\)
[ 0-9a-f]+:	6043 5e00 	ldr	v0,-512\(v1\)
[ 0-9a-f]+:	6043 51ff 	ldr	v0,511\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	6041 5000 	ldr	v0,0\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	6041 5678 	ldr	v0,1656\(at\)
[ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
[ 0-9a-f]+:	6040 7004 	lld	v0,4\(zero\)
[ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
[ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
[ 0-9a-f]+:	6040 7004 	lld	v0,4\(zero\)
[ 0-9a-f]+:	6043 7004 	lld	v0,4\(v1\)
[ 0-9a-f]+:	6043 7e00 	lld	v0,-512\(v1\)
[ 0-9a-f]+:	6043 71ff 	lld	v0,511\(v1\)
[ 0-9a-f]+:	3043 8000 	addiu	v0,v1,-32768
[ 0-9a-f]+:	6042 7000 	lld	v0,0\(v0\)
[ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
[ 0-9a-f]+:	5042 5000 	ori	v0,v0,0x5000
[ 0-9a-f]+:	0062 1150 	addu	v0,v0,v1
[ 0-9a-f]+:	6042 7678 	lld	v0,1656\(v0\)
[ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
[ 0-9a-f]+:	6040 e004 	lwu	v0,4\(zero\)
[ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
[ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
[ 0-9a-f]+:	6040 e004 	lwu	v0,4\(zero\)
[ 0-9a-f]+:	6043 e004 	lwu	v0,4\(v1\)
[ 0-9a-f]+:	6043 ee00 	lwu	v0,-512\(v1\)
[ 0-9a-f]+:	6043 e1ff 	lwu	v0,511\(v1\)
[ 0-9a-f]+:	3043 8000 	addiu	v0,v1,-32768
[ 0-9a-f]+:	6042 e000 	lwu	v0,0\(v0\)
[ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
[ 0-9a-f]+:	5042 5000 	ori	v0,v0,0x5000
[ 0-9a-f]+:	0062 1150 	addu	v0,v0,v1
[ 0-9a-f]+:	6042 e678 	lwu	v0,1656\(v0\)
[ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
[ 0-9a-f]+:	6040 f004 	scd	v0,4\(zero\)
[ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
[ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
[ 0-9a-f]+:	6040 f004 	scd	v0,4\(zero\)
[ 0-9a-f]+:	6043 f004 	scd	v0,4\(v1\)
[ 0-9a-f]+:	6043 fe00 	scd	v0,-512\(v1\)
[ 0-9a-f]+:	6043 f1ff 	scd	v0,511\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	6041 f000 	scd	v0,0\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	6041 f678 	scd	v0,1656\(at\)
[ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
[ 0-9a-f]+:	d840 0004 	sd	v0,4\(zero\)
[ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
[ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
[ 0-9a-f]+:	d840 0004 	sd	v0,4\(zero\)
[ 0-9a-f]+:	d843 0004 	sd	v0,4\(v1\)
[ 0-9a-f]+:	d843 8000 	sd	v0,-32768\(v1\)
[ 0-9a-f]+:	d843 7fff 	sd	v0,32767\(v1\)
[ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
[ 0-9a-f]+:	6040 c004 	sdl	v0,4\(zero\)
[ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
[ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
[ 0-9a-f]+:	6040 c004 	sdl	v0,4\(zero\)
[ 0-9a-f]+:	6043 c004 	sdl	v0,4\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	6041 c000 	sdl	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	6041 c000 	sdl	v0,0\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	6041 c678 	sdl	v0,1656\(at\)
[ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
[ 0-9a-f]+:	6040 d004 	sdr	v0,4\(zero\)
[ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
[ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
[ 0-9a-f]+:	6040 d004 	sdr	v0,4\(zero\)
[ 0-9a-f]+:	6043 d004 	sdr	v0,4\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	6041 d000 	sdr	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	6041 d000 	sdr	v0,0\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	6041 d678 	sdr	v0,1656\(at\)
[ 0-9a-f]+:	2020 7000 	ldm	s0,0\(zero\)
[ 0-9a-f]+:	2020 7004 	ldm	s0,4\(zero\)
[ 0-9a-f]+:	2025 7000 	ldm	s0,0\(a1\)
[ 0-9a-f]+:	2025 77ff 	ldm	s0,2047\(a1\)
[ 0-9a-f]+:	2045 77ff 	ldm	s0-s1,2047\(a1\)
[ 0-9a-f]+:	2065 77ff 	ldm	s0-s2,2047\(a1\)
[ 0-9a-f]+:	2085 77ff 	ldm	s0-s3,2047\(a1\)
[ 0-9a-f]+:	20a5 77ff 	ldm	s0-s4,2047\(a1\)
[ 0-9a-f]+:	20c5 77ff 	ldm	s0-s5,2047\(a1\)
[ 0-9a-f]+:	20e5 77ff 	ldm	s0-s6,2047\(a1\)
[ 0-9a-f]+:	2105 77ff 	ldm	s0-s7,2047\(a1\)
[ 0-9a-f]+:	2125 77ff 	ldm	s0-s7,s8,2047\(a1\)
[ 0-9a-f]+:	2205 77ff 	ldm	ra,2047\(a1\)
[ 0-9a-f]+:	2225 7000 	ldm	s0,ra,0\(a1\)
[ 0-9a-f]+:	2245 7000 	ldm	s0-s1,ra,0\(a1\)
[ 0-9a-f]+:	2265 7000 	ldm	s0-s2,ra,0\(a1\)
[ 0-9a-f]+:	2285 7000 	ldm	s0-s3,ra,0\(a1\)
[ 0-9a-f]+:	22a5 7000 	ldm	s0-s4,ra,0\(a1\)
[ 0-9a-f]+:	22c5 7000 	ldm	s0-s5,ra,0\(a1\)
[ 0-9a-f]+:	22e5 7000 	ldm	s0-s6,ra,0\(a1\)
[ 0-9a-f]+:	2305 7000 	ldm	s0-s7,ra,0\(a1\)
[ 0-9a-f]+:	2325 7000 	ldm	s0-s7,s8,ra,0\(a1\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
[ 0-9a-f]+:	2020 7000 	ldm	s0,0\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	2021 7fff 	ldm	s0,-1\(at\)
[ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
[ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
[ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
[ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
[ 0-9a-f]+:	203d 7000 	ldm	s0,0\(sp\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 7fff 	ldm	s0,-1\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 7678 	ldm	s0,1656\(at\)
[ 0-9a-f]+:	2040 4000 	ldp	v0,0\(zero\)
[ 0-9a-f]+:	2040 4004 	ldp	v0,4\(zero\)
[ 0-9a-f]+:	205d 4000 	ldp	v0,0\(sp\)
[ 0-9a-f]+:	205d 4000 	ldp	v0,0\(sp\)
[ 0-9a-f]+:	2043 4800 	ldp	v0,-2048\(v1\)
[ 0-9a-f]+:	2043 47ff 	ldp	v0,2047\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	2041 4000 	ldp	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	2041 4000 	ldp	v0,0\(at\)
[ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	2041 4fff 	ldp	v0,-1\(at\)
[ 0-9a-f]+:	3060 8000 	li	v1,-32768
[ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
[ 0-9a-f]+:	3060 7fff 	li	v1,32767
[ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
[ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
[ 0-9a-f]+:	2043 4fff 	ldp	v0,-1\(v1\)
[ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
[ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
[ 0-9a-f]+:	2043 4678 	ldp	v0,1656\(v1\)
[ 0-9a-f]+:	2020 f000 	sdm	s0,0\(zero\)
[ 0-9a-f]+:	2020 f004 	sdm	s0,4\(zero\)
[ 0-9a-f]+:	2025 f000 	sdm	s0,0\(a1\)
[ 0-9a-f]+:	2025 f7ff 	sdm	s0,2047\(a1\)
[ 0-9a-f]+:	2045 f7ff 	sdm	s0-s1,2047\(a1\)
[ 0-9a-f]+:	2065 f7ff 	sdm	s0-s2,2047\(a1\)
[ 0-9a-f]+:	2085 f7ff 	sdm	s0-s3,2047\(a1\)
[ 0-9a-f]+:	20a5 f7ff 	sdm	s0-s4,2047\(a1\)
[ 0-9a-f]+:	20c5 f7ff 	sdm	s0-s5,2047\(a1\)
[ 0-9a-f]+:	20e5 f7ff 	sdm	s0-s6,2047\(a1\)
[ 0-9a-f]+:	2105 f7ff 	sdm	s0-s7,2047\(a1\)
[ 0-9a-f]+:	2125 f7ff 	sdm	s0-s7,s8,2047\(a1\)
[ 0-9a-f]+:	2205 f7ff 	sdm	ra,2047\(a1\)
[ 0-9a-f]+:	2225 f000 	sdm	s0,ra,0\(a1\)
[ 0-9a-f]+:	2245 f000 	sdm	s0-s1,ra,0\(a1\)
[ 0-9a-f]+:	2265 f000 	sdm	s0-s2,ra,0\(a1\)
[ 0-9a-f]+:	2285 f000 	sdm	s0-s3,ra,0\(a1\)
[ 0-9a-f]+:	22a5 f000 	sdm	s0-s4,ra,0\(a1\)
[ 0-9a-f]+:	22c5 f000 	sdm	s0-s5,ra,0\(a1\)
[ 0-9a-f]+:	22e5 f000 	sdm	s0-s6,ra,0\(a1\)
[ 0-9a-f]+:	2305 f000 	sdm	s0-s7,ra,0\(a1\)
[ 0-9a-f]+:	2325 f000 	sdm	s0-s7,s8,ra,0\(a1\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
[ 0-9a-f]+:	2020 f000 	sdm	s0,0\(zero\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	2021 ffff 	sdm	s0,-1\(at\)
[ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
[ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
[ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
[ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
[ 0-9a-f]+:	203d f000 	sdm	s0,0\(sp\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 ffff 	sdm	s0,-1\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
[ 0-9a-f]+:	2021 f678 	sdm	s0,1656\(at\)
[ 0-9a-f]+:	2040 c000 	sdp	v0,0\(zero\)
[ 0-9a-f]+:	2040 c004 	sdp	v0,4\(zero\)
[ 0-9a-f]+:	205d c000 	sdp	v0,0\(sp\)
[ 0-9a-f]+:	205d c000 	sdp	v0,0\(sp\)
[ 0-9a-f]+:	2043 c800 	sdp	v0,-2048\(v1\)
[ 0-9a-f]+:	2043 c7ff 	sdp	v0,2047\(v1\)
[ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
[ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
[ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
[ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
[ 0-9a-f]+:	2043 c000 	sdp	v0,0\(v1\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	0061 0950 	addu	at,at,v1
[ 0-9a-f]+:	2041 cfff 	sdp	v0,-1\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
[ 0-9a-f]+:	3020 7fff 	li	at,32767
[ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
[ 0-9a-f]+:	41a1 0001 	lui	at,0x1
[ 0-9a-f]+:	2041 cfff 	sdp	v0,-1\(at\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
[ 0-9a-f]+:	2041 c678 	sdp	v0,1656\(at\)
[ 0-9a-f]+:	6060 4000 	ldl	v1,0\(zero\)
[ 0-9a-f]+:	6060 5007 	ldr	v1,7\(zero\)
[ 0-9a-f]+:	6060 4000 	ldl	v1,0\(zero\)
[ 0-9a-f]+:	6060 5007 	ldr	v1,7\(zero\)
[ 0-9a-f]+:	6060 4004 	ldl	v1,4\(zero\)
[ 0-9a-f]+:	6060 500b 	ldr	v1,11\(zero\)
[ 0-9a-f]+:	6060 4004 	ldl	v1,4\(zero\)
[ 0-9a-f]+:	6060 500b 	ldr	v1,11\(zero\)
[ 0-9a-f]+:	3020 07ff 	li	at,2047
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6060 4800 	ldl	v1,-2048\(zero\)
[ 0-9a-f]+:	6060 5807 	ldr	v1,-2041\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3020 7ff1 	li	at,32753
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6060 4fff 	ldl	v1,-1\(zero\)
[ 0-9a-f]+:	6060 5006 	ldr	v1,6\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6064 4000 	ldl	v1,0\(a0\)
[ 0-9a-f]+:	6064 5007 	ldr	v1,7\(a0\)
[ 0-9a-f]+:	6064 4004 	ldl	v1,4\(a0\)
[ 0-9a-f]+:	6064 500b 	ldr	v1,11\(a0\)
[ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6064 4800 	ldl	v1,-2048\(a0\)
[ 0-9a-f]+:	6064 5807 	ldr	v1,-2041\(a0\)
[ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3024 7ff1 	addiu	at,a0,32753
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6064 4fff 	ldl	v1,-1\(a0\)
[ 0-9a-f]+:	6064 5006 	ldr	v1,6\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
[ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
[ 0-9a-f]+:	6060 c000 	sdl	v1,0\(zero\)
[ 0-9a-f]+:	6060 d007 	sdr	v1,7\(zero\)
[ 0-9a-f]+:	6060 c000 	sdl	v1,0\(zero\)
[ 0-9a-f]+:	6060 d007 	sdr	v1,7\(zero\)
[ 0-9a-f]+:	6060 c004 	sdl	v1,4\(zero\)
[ 0-9a-f]+:	6060 d00b 	sdr	v1,11\(zero\)
[ 0-9a-f]+:	6060 c004 	sdl	v1,4\(zero\)
[ 0-9a-f]+:	6060 d00b 	sdr	v1,11\(zero\)
[ 0-9a-f]+:	3020 07ff 	li	at,2047
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	6060 c800 	sdl	v1,-2048\(zero\)
[ 0-9a-f]+:	6060 d807 	sdr	v1,-2041\(zero\)
[ 0-9a-f]+:	3020 0800 	li	at,2048
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3020 f7ff 	li	at,-2049
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3020 7ff1 	li	at,32753
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3020 8000 	li	at,-32768
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3020 8001 	li	at,-32767
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	6060 cfff 	sdl	v1,-1\(zero\)
[ 0-9a-f]+:	6060 d006 	sdr	v1,6\(zero\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	6064 c000 	sdl	v1,0\(a0\)
[ 0-9a-f]+:	6064 d007 	sdr	v1,7\(a0\)
[ 0-9a-f]+:	6064 c004 	sdl	v1,4\(a0\)
[ 0-9a-f]+:	6064 d00b 	sdr	v1,11\(a0\)
[ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	6064 c800 	sdl	v1,-2048\(a0\)
[ 0-9a-f]+:	6064 d807 	sdr	v1,-2041\(a0\)
[ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3024 7ff1 	addiu	at,a0,32753
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	5020 ffff 	li	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
[ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	6064 cfff 	sdl	v1,-1\(a0\)
[ 0-9a-f]+:	6064 d006 	sdr	v1,6\(a0\)
[ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
[ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
[ 0-9a-f]+:	0081 0950 	addu	at,at,a0
[ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
[ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6201 4000 	ldl	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6201 5000 	ldr	s0,0\(at\)
[ 0-9a-f]+:	3203 0000 	addiu	s0,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6210 7000 	lld	s0,0\(s0\)
[ 0-9a-f]+:	3203 0000 	addiu	s0,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6210 e000 	lwu	s0,0\(s0\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6201 f000 	scd	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6201 c000 	sdl	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	6201 d000 	sdr	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
[ 0-9a-f]+:	3223 0000 	addiu	s1,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2211 4000 	ldp	s0,0\(s1\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2201 c000 	sdp	s0,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2201 2000 	ldc2	\$16,0\(at\)
[ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
[ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
[ 0-9a-f]+:	2201 a000 	sdc2	\$16,0\(at\)

[0-9a-f]+ <test_delay_slot>:
[ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <test_delay_slot>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4063 fffe 	bgezal	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4023 fffe 	bltzal	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4263 fffe 	bgezals	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	4223 fffe 	bltzals	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
[ 0-9a-f]+:	0c00      	nop
[ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test_delay_slot
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
[ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test_delay_slot_ext
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e2 0f3c 	jalr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0002 0f3c 	jr	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	0002 1f3c 	jr\.hb	v0
[ 0-9a-f]+:	0000 0000 	nop

[0-9a-f]+ <test_spec102>:
[ 0-9a-f]+:	6540      	lw	v0,-256\(gp\)
[ 0-9a-f]+:	65c0      	lw	v1,-256\(gp\)
[ 0-9a-f]+:	6640      	lw	a0,-256\(gp\)
[ 0-9a-f]+:	66c0      	lw	a1,-256\(gp\)
[ 0-9a-f]+:	6740      	lw	a2,-256\(gp\)
[ 0-9a-f]+:	67c0      	lw	a3,-256\(gp\)
[ 0-9a-f]+:	6440      	lw	s0,-256\(gp\)
[ 0-9a-f]+:	64c0      	lw	s1,-256\(gp\)
[ 0-9a-f]+:	64c1      	lw	s1,-252\(gp\)
[ 0-9a-f]+:	64ff      	lw	s1,-4\(gp\)
[ 0-9a-f]+:	6480      	lw	s1,0\(gp\)
[ 0-9a-f]+:	6481      	lw	s1,4\(gp\)
[ 0-9a-f]+:	64be      	lw	s1,248\(gp\)
[ 0-9a-f]+:	64bf      	lw	s1,252\(gp\)
[ 0-9a-f]+:	fe3c 0100 	lw	s1,256\(gp\)
[ 0-9a-f]+:	fe3c fefc 	lw	s1,-260\(gp\)
[ 0-9a-f]+:	fe3c 0001 	lw	s1,1\(gp\)
[ 0-9a-f]+:	fe3c 0002 	lw	s1,2\(gp\)
[ 0-9a-f]+:	fe3c 0003 	lw	s1,3\(gp\)
[ 0-9a-f]+:	fe3c ffff 	lw	s1,-1\(gp\)
[ 0-9a-f]+:	fe3c fffe 	lw	s1,-2\(gp\)
[ 0-9a-f]+:	fe3c fffd 	lw	s1,-3\(gp\)
[ 0-9a-f]+:	fe3b 0000 	lw	s1,0\(k1\)
[ 0-9a-f]+:	7900 0000 	addiu	v0,\$pc,0
[ 0-9a-f]+:	7980 0000 	addiu	v1,\$pc,0
[ 0-9a-f]+:	7a00 0000 	addiu	a0,\$pc,0
[ 0-9a-f]+:	7a80 0000 	addiu	a1,\$pc,0
[ 0-9a-f]+:	7b00 0000 	addiu	a2,\$pc,0
[ 0-9a-f]+:	7b80 0000 	addiu	a3,\$pc,0
[ 0-9a-f]+:	7800 0000 	addiu	s0,\$pc,0
[ 0-9a-f]+:	7880 0000 	addiu	s1,\$pc,0
[ 0-9a-f]+:	78bf ffff 	addiu	s1,\$pc,16777212
[ 0-9a-f]+:	78c0 0000 	addiu	s1,\$pc,-16777216
[ 0-9a-f]+:	7900 0000 	addiu	v0,\$pc,0
[ 0-9a-f]+:	7980 0000 	addiu	v1,\$pc,0
[ 0-9a-f]+:	7a00 0000 	addiu	a0,\$pc,0
[ 0-9a-f]+:	7a80 0000 	addiu	a1,\$pc,0
[ 0-9a-f]+:	7b00 0000 	addiu	a2,\$pc,0
[ 0-9a-f]+:	7b80 0000 	addiu	a3,\$pc,0
[ 0-9a-f]+:	7800 0000 	addiu	s0,\$pc,0
[ 0-9a-f]+:	7880 0000 	addiu	s1,\$pc,0
[ 0-9a-f]+:	78bf ffff 	addiu	s1,\$pc,16777212
[ 0-9a-f]+:	78c0 0000 	addiu	s1,\$pc,-16777216

[0-9a-f]+ <test_spec107>:
[ 0-9a-f]+:	8400      	movep	a1,a2,zero,zero
[ 0-9a-f]+:	8480      	movep	a1,a3,zero,zero
[ 0-9a-f]+:	8500      	movep	a2,a3,zero,zero
[ 0-9a-f]+:	8580      	movep	a0,s5,zero,zero
[ 0-9a-f]+:	8600      	movep	a0,s6,zero,zero
[ 0-9a-f]+:	8680      	movep	a0,a1,zero,zero
[ 0-9a-f]+:	8700      	movep	a0,a2,zero,zero
[ 0-9a-f]+:	8780      	movep	a0,a3,zero,zero
[ 0-9a-f]+:	8782      	movep	a0,a3,s1,zero
[ 0-9a-f]+:	8784      	movep	a0,a3,v0,zero
[ 0-9a-f]+:	8786      	movep	a0,a3,v1,zero
[ 0-9a-f]+:	8788      	movep	a0,a3,s0,zero
[ 0-9a-f]+:	878a      	movep	a0,a3,s2,zero
[ 0-9a-f]+:	878c      	movep	a0,a3,s3,zero
[ 0-9a-f]+:	878e      	movep	a0,a3,s4,zero
[ 0-9a-f]+:	879e      	movep	a0,a3,s4,s1
[ 0-9a-f]+:	87ae      	movep	a0,a3,s4,v0
[ 0-9a-f]+:	87be      	movep	a0,a3,s4,v1
[ 0-9a-f]+:	87ce      	movep	a0,a3,s4,s0
[ 0-9a-f]+:	87de      	movep	a0,a3,s4,s2
[ 0-9a-f]+:	87ee      	movep	a0,a3,s4,s3
[ 0-9a-f]+:	87fe      	movep	a0,a3,s4,s4
[ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4062 fffe 	bgezal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
[ 0-9a-f]+:	0000 0000 	nop
[ 0-9a-f]+:	4022 fffe 	bltzal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
[ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
[ 0-9a-f]+:	0000 0000 	nop
#pass