File: ChangeLog

package info (click to toggle)
codeblocks 16.01%2Bdfsg-2.1
  • links: PTS, VCS
  • area: main
  • in suites: buster
  • size: 85,420 kB
  • ctags: 90,657
  • sloc: cpp: 665,947; ansic: 48,306; sh: 32,198; xml: 29,690; makefile: 6,054; asm: 3,827; python: 3,251; f90: 1,202; pascal: 839; yacc: 291; perl: 261; sed: 16
file content (47720 lines) | stat: -rw-r--r-- 1,284,649 bytes parent folder | download | duplicates (3)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
4702
4703
4704
4705
4706
4707
4708
4709
4710
4711
4712
4713
4714
4715
4716
4717
4718
4719
4720
4721
4722
4723
4724
4725
4726
4727
4728
4729
4730
4731
4732
4733
4734
4735
4736
4737
4738
4739
4740
4741
4742
4743
4744
4745
4746
4747
4748
4749
4750
4751
4752
4753
4754
4755
4756
4757
4758
4759
4760
4761
4762
4763
4764
4765
4766
4767
4768
4769
4770
4771
4772
4773
4774
4775
4776
4777
4778
4779
4780
4781
4782
4783
4784
4785
4786
4787
4788
4789
4790
4791
4792
4793
4794
4795
4796
4797
4798
4799
4800
4801
4802
4803
4804
4805
4806
4807
4808
4809
4810
4811
4812
4813
4814
4815
4816
4817
4818
4819
4820
4821
4822
4823
4824
4825
4826
4827
4828
4829
4830
4831
4832
4833
4834
4835
4836
4837
4838
4839
4840
4841
4842
4843
4844
4845
4846
4847
4848
4849
4850
4851
4852
4853
4854
4855
4856
4857
4858
4859
4860
4861
4862
4863
4864
4865
4866
4867
4868
4869
4870
4871
4872
4873
4874
4875
4876
4877
4878
4879
4880
4881
4882
4883
4884
4885
4886
4887
4888
4889
4890
4891
4892
4893
4894
4895
4896
4897
4898
4899
4900
4901
4902
4903
4904
4905
4906
4907
4908
4909
4910
4911
4912
4913
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934
4935
4936
4937
4938
4939
4940
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963
4964
4965
4966
4967
4968
4969
4970
4971
4972
4973
4974
4975
4976
4977
4978
4979
4980
4981
4982
4983
4984
4985
4986
4987
4988
4989
4990
4991
4992
4993
4994
4995
4996
4997
4998
4999
5000
5001
5002
5003
5004
5005
5006
5007
5008
5009
5010
5011
5012
5013
5014
5015
5016
5017
5018
5019
5020
5021
5022
5023
5024
5025
5026
5027
5028
5029
5030
5031
5032
5033
5034
5035
5036
5037
5038
5039
5040
5041
5042
5043
5044
5045
5046
5047
5048
5049
5050
5051
5052
5053
5054
5055
5056
5057
5058
5059
5060
5061
5062
5063
5064
5065
5066
5067
5068
5069
5070
5071
5072
5073
5074
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
5152
5153
5154
5155
5156
5157
5158
5159
5160
5161
5162
5163
5164
5165
5166
5167
5168
5169
5170
5171
5172
5173
5174
5175
5176
5177
5178
5179
5180
5181
5182
5183
5184
5185
5186
5187
5188
5189
5190
5191
5192
5193
5194
5195
5196
5197
5198
5199
5200
5201
5202
5203
5204
5205
5206
5207
5208
5209
5210
5211
5212
5213
5214
5215
5216
5217
5218
5219
5220
5221
5222
5223
5224
5225
5226
5227
5228
5229
5230
5231
5232
5233
5234
5235
5236
5237
5238
5239
5240
5241
5242
5243
5244
5245
5246
5247
5248
5249
5250
5251
5252
5253
5254
5255
5256
5257
5258
5259
5260
5261
5262
5263
5264
5265
5266
5267
5268
5269
5270
5271
5272
5273
5274
5275
5276
5277
5278
5279
5280
5281
5282
5283
5284
5285
5286
5287
5288
5289
5290
5291
5292
5293
5294
5295
5296
5297
5298
5299
5300
5301
5302
5303
5304
5305
5306
5307
5308
5309
5310
5311
5312
5313
5314
5315
5316
5317
5318
5319
5320
5321
5322
5323
5324
5325
5326
5327
5328
5329
5330
5331
5332
5333
5334
5335
5336
5337
5338
5339
5340
5341
5342
5343
5344
5345
5346
5347
5348
5349
5350
5351
5352
5353
5354
5355
5356
5357
5358
5359
5360
5361
5362
5363
5364
5365
5366
5367
5368
5369
5370
5371
5372
5373
5374
5375
5376
5377
5378
5379
5380
5381
5382
5383
5384
5385
5386
5387
5388
5389
5390
5391
5392
5393
5394
5395
5396
5397
5398
5399
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5411
5412
5413
5414
5415
5416
5417
5418
5419
5420
5421
5422
5423
5424
5425
5426
5427
5428
5429
5430
5431
5432
5433
5434
5435
5436
5437
5438
5439
5440
5441
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
5452
5453
5454
5455
5456
5457
5458
5459
5460
5461
5462
5463
5464
5465
5466
5467
5468
5469
5470
5471
5472
5473
5474
5475
5476
5477
5478
5479
5480
5481
5482
5483
5484
5485
5486
5487
5488
5489
5490
5491
5492
5493
5494
5495
5496
5497
5498
5499
5500
5501
5502
5503
5504
5505
5506
5507
5508
5509
5510
5511
5512
5513
5514
5515
5516
5517
5518
5519
5520
5521
5522
5523
5524
5525
5526
5527
5528
5529
5530
5531
5532
5533
5534
5535
5536
5537
5538
5539
5540
5541
5542
5543
5544
5545
5546
5547
5548
5549
5550
5551
5552
5553
5554
5555
5556
5557
5558
5559
5560
5561
5562
5563
5564
5565
5566
5567
5568
5569
5570
5571
5572
5573
5574
5575
5576
5577
5578
5579
5580
5581
5582
5583
5584
5585
5586
5587
5588
5589
5590
5591
5592
5593
5594
5595
5596
5597
5598
5599
5600
5601
5602
5603
5604
5605
5606
5607
5608
5609
5610
5611
5612
5613
5614
5615
5616
5617
5618
5619
5620
5621
5622
5623
5624
5625
5626
5627
5628
5629
5630
5631
5632
5633
5634
5635
5636
5637
5638
5639
5640
5641
5642
5643
5644
5645
5646
5647
5648
5649
5650
5651
5652
5653
5654
5655
5656
5657
5658
5659
5660
5661
5662
5663
5664
5665
5666
5667
5668
5669
5670
5671
5672
5673
5674
5675
5676
5677
5678
5679
5680
5681
5682
5683
5684
5685
5686
5687
5688
5689
5690
5691
5692
5693
5694
5695
5696
5697
5698
5699
5700
5701
5702
5703
5704
5705
5706
5707
5708
5709
5710
5711
5712
5713
5714
5715
5716
5717
5718
5719
5720
5721
5722
5723
5724
5725
5726
5727
5728
5729
5730
5731
5732
5733
5734
5735
5736
5737
5738
5739
5740
5741
5742
5743
5744
5745
5746
5747
5748
5749
5750
5751
5752
5753
5754
5755
5756
5757
5758
5759
5760
5761
5762
5763
5764
5765
5766
5767
5768
5769
5770
5771
5772
5773
5774
5775
5776
5777
5778
5779
5780
5781
5782
5783
5784
5785
5786
5787
5788
5789
5790
5791
5792
5793
5794
5795
5796
5797
5798
5799
5800
5801
5802
5803
5804
5805
5806
5807
5808
5809
5810
5811
5812
5813
5814
5815
5816
5817
5818
5819
5820
5821
5822
5823
5824
5825
5826
5827
5828
5829
5830
5831
5832
5833
5834
5835
5836
5837
5838
5839
5840
5841
5842
5843
5844
5845
5846
5847
5848
5849
5850
5851
5852
5853
5854
5855
5856
5857
5858
5859
5860
5861
5862
5863
5864
5865
5866
5867
5868
5869
5870
5871
5872
5873
5874
5875
5876
5877
5878
5879
5880
5881
5882
5883
5884
5885
5886
5887
5888
5889
5890
5891
5892
5893
5894
5895
5896
5897
5898
5899
5900
5901
5902
5903
5904
5905
5906
5907
5908
5909
5910
5911
5912
5913
5914
5915
5916
5917
5918
5919
5920
5921
5922
5923
5924
5925
5926
5927
5928
5929
5930
5931
5932
5933
5934
5935
5936
5937
5938
5939
5940
5941
5942
5943
5944
5945
5946
5947
5948
5949
5950
5951
5952
5953
5954
5955
5956
5957
5958
5959
5960
5961
5962
5963
5964
5965
5966
5967
5968
5969
5970
5971
5972
5973
5974
5975
5976
5977
5978
5979
5980
5981
5982
5983
5984
5985
5986
5987
5988
5989
5990
5991
5992
5993
5994
5995
5996
5997
5998
5999
6000
6001
6002
6003
6004
6005
6006
6007
6008
6009
6010
6011
6012
6013
6014
6015
6016
6017
6018
6019
6020
6021
6022
6023
6024
6025
6026
6027
6028
6029
6030
6031
6032
6033
6034
6035
6036
6037
6038
6039
6040
6041
6042
6043
6044
6045
6046
6047
6048
6049
6050
6051
6052
6053
6054
6055
6056
6057
6058
6059
6060
6061
6062
6063
6064
6065
6066
6067
6068
6069
6070
6071
6072
6073
6074
6075
6076
6077
6078
6079
6080
6081
6082
6083
6084
6085
6086
6087
6088
6089
6090
6091
6092
6093
6094
6095
6096
6097
6098
6099
6100
6101
6102
6103
6104
6105
6106
6107
6108
6109
6110
6111
6112
6113
6114
6115
6116
6117
6118
6119
6120
6121
6122
6123
6124
6125
6126
6127
6128
6129
6130
6131
6132
6133
6134
6135
6136
6137
6138
6139
6140
6141
6142
6143
6144
6145
6146
6147
6148
6149
6150
6151
6152
6153
6154
6155
6156
6157
6158
6159
6160
6161
6162
6163
6164
6165
6166
6167
6168
6169
6170
6171
6172
6173
6174
6175
6176
6177
6178
6179
6180
6181
6182
6183
6184
6185
6186
6187
6188
6189
6190
6191
6192
6193
6194
6195
6196
6197
6198
6199
6200
6201
6202
6203
6204
6205
6206
6207
6208
6209
6210
6211
6212
6213
6214
6215
6216
6217
6218
6219
6220
6221
6222
6223
6224
6225
6226
6227
6228
6229
6230
6231
6232
6233
6234
6235
6236
6237
6238
6239
6240
6241
6242
6243
6244
6245
6246
6247
6248
6249
6250
6251
6252
6253
6254
6255
6256
6257
6258
6259
6260
6261
6262
6263
6264
6265
6266
6267
6268
6269
6270
6271
6272
6273
6274
6275
6276
6277
6278
6279
6280
6281
6282
6283
6284
6285
6286
6287
6288
6289
6290
6291
6292
6293
6294
6295
6296
6297
6298
6299
6300
6301
6302
6303
6304
6305
6306
6307
6308
6309
6310
6311
6312
6313
6314
6315
6316
6317
6318
6319
6320
6321
6322
6323
6324
6325
6326
6327
6328
6329
6330
6331
6332
6333
6334
6335
6336
6337
6338
6339
6340
6341
6342
6343
6344
6345
6346
6347
6348
6349
6350
6351
6352
6353
6354
6355
6356
6357
6358
6359
6360
6361
6362
6363
6364
6365
6366
6367
6368
6369
6370
6371
6372
6373
6374
6375
6376
6377
6378
6379
6380
6381
6382
6383
6384
6385
6386
6387
6388
6389
6390
6391
6392
6393
6394
6395
6396
6397
6398
6399
6400
6401
6402
6403
6404
6405
6406
6407
6408
6409
6410
6411
6412
6413
6414
6415
6416
6417
6418
6419
6420
6421
6422
6423
6424
6425
6426
6427
6428
6429
6430
6431
6432
6433
6434
6435
6436
6437
6438
6439
6440
6441
6442
6443
6444
6445
6446
6447
6448
6449
6450
6451
6452
6453
6454
6455
6456
6457
6458
6459
6460
6461
6462
6463
6464
6465
6466
6467
6468
6469
6470
6471
6472
6473
6474
6475
6476
6477
6478
6479
6480
6481
6482
6483
6484
6485
6486
6487
6488
6489
6490
6491
6492
6493
6494
6495
6496
6497
6498
6499
6500
6501
6502
6503
6504
6505
6506
6507
6508
6509
6510
6511
6512
6513
6514
6515
6516
6517
6518
6519
6520
6521
6522
6523
6524
6525
6526
6527
6528
6529
6530
6531
6532
6533
6534
6535
6536
6537
6538
6539
6540
6541
6542
6543
6544
6545
6546
6547
6548
6549
6550
6551
6552
6553
6554
6555
6556
6557
6558
6559
6560
6561
6562
6563
6564
6565
6566
6567
6568
6569
6570
6571
6572
6573
6574
6575
6576
6577
6578
6579
6580
6581
6582
6583
6584
6585
6586
6587
6588
6589
6590
6591
6592
6593
6594
6595
6596
6597
6598
6599
6600
6601
6602
6603
6604
6605
6606
6607
6608
6609
6610
6611
6612
6613
6614
6615
6616
6617
6618
6619
6620
6621
6622
6623
6624
6625
6626
6627
6628
6629
6630
6631
6632
6633
6634
6635
6636
6637
6638
6639
6640
6641
6642
6643
6644
6645
6646
6647
6648
6649
6650
6651
6652
6653
6654
6655
6656
6657
6658
6659
6660
6661
6662
6663
6664
6665
6666
6667
6668
6669
6670
6671
6672
6673
6674
6675
6676
6677
6678
6679
6680
6681
6682
6683
6684
6685
6686
6687
6688
6689
6690
6691
6692
6693
6694
6695
6696
6697
6698
6699
6700
6701
6702
6703
6704
6705
6706
6707
6708
6709
6710
6711
6712
6713
6714
6715
6716
6717
6718
6719
6720
6721
6722
6723
6724
6725
6726
6727
6728
6729
6730
6731
6732
6733
6734
6735
6736
6737
6738
6739
6740
6741
6742
6743
6744
6745
6746
6747
6748
6749
6750
6751
6752
6753
6754
6755
6756
6757
6758
6759
6760
6761
6762
6763
6764
6765
6766
6767
6768
6769
6770
6771
6772
6773
6774
6775
6776
6777
6778
6779
6780
6781
6782
6783
6784
6785
6786
6787
6788
6789
6790
6791
6792
6793
6794
6795
6796
6797
6798
6799
6800
6801
6802
6803
6804
6805
6806
6807
6808
6809
6810
6811
6812
6813
6814
6815
6816
6817
6818
6819
6820
6821
6822
6823
6824
6825
6826
6827
6828
6829
6830
6831
6832
6833
6834
6835
6836
6837
6838
6839
6840
6841
6842
6843
6844
6845
6846
6847
6848
6849
6850
6851
6852
6853
6854
6855
6856
6857
6858
6859
6860
6861
6862
6863
6864
6865
6866
6867
6868
6869
6870
6871
6872
6873
6874
6875
6876
6877
6878
6879
6880
6881
6882
6883
6884
6885
6886
6887
6888
6889
6890
6891
6892
6893
6894
6895
6896
6897
6898
6899
6900
6901
6902
6903
6904
6905
6906
6907
6908
6909
6910
6911
6912
6913
6914
6915
6916
6917
6918
6919
6920
6921
6922
6923
6924
6925
6926
6927
6928
6929
6930
6931
6932
6933
6934
6935
6936
6937
6938
6939
6940
6941
6942
6943
6944
6945
6946
6947
6948
6949
6950
6951
6952
6953
6954
6955
6956
6957
6958
6959
6960
6961
6962
6963
6964
6965
6966
6967
6968
6969
6970
6971
6972
6973
6974
6975
6976
6977
6978
6979
6980
6981
6982
6983
6984
6985
6986
6987
6988
6989
6990
6991
6992
6993
6994
6995
6996
6997
6998
6999
7000
7001
7002
7003
7004
7005
7006
7007
7008
7009
7010
7011
7012
7013
7014
7015
7016
7017
7018
7019
7020
7021
7022
7023
7024
7025
7026
7027
7028
7029
7030
7031
7032
7033
7034
7035
7036
7037
7038
7039
7040
7041
7042
7043
7044
7045
7046
7047
7048
7049
7050
7051
7052
7053
7054
7055
7056
7057
7058
7059
7060
7061
7062
7063
7064
7065
7066
7067
7068
7069
7070
7071
7072
7073
7074
7075
7076
7077
7078
7079
7080
7081
7082
7083
7084
7085
7086
7087
7088
7089
7090
7091
7092
7093
7094
7095
7096
7097
7098
7099
7100
7101
7102
7103
7104
7105
7106
7107
7108
7109
7110
7111
7112
7113
7114
7115
7116
7117
7118
7119
7120
7121
7122
7123
7124
7125
7126
7127
7128
7129
7130
7131
7132
7133
7134
7135
7136
7137
7138
7139
7140
7141
7142
7143
7144
7145
7146
7147
7148
7149
7150
7151
7152
7153
7154
7155
7156
7157
7158
7159
7160
7161
7162
7163
7164
7165
7166
7167
7168
7169
7170
7171
7172
7173
7174
7175
7176
7177
7178
7179
7180
7181
7182
7183
7184
7185
7186
7187
7188
7189
7190
7191
7192
7193
7194
7195
7196
7197
7198
7199
7200
7201
7202
7203
7204
7205
7206
7207
7208
7209
7210
7211
7212
7213
7214
7215
7216
7217
7218
7219
7220
7221
7222
7223
7224
7225
7226
7227
7228
7229
7230
7231
7232
7233
7234
7235
7236
7237
7238
7239
7240
7241
7242
7243
7244
7245
7246
7247
7248
7249
7250
7251
7252
7253
7254
7255
7256
7257
7258
7259
7260
7261
7262
7263
7264
7265
7266
7267
7268
7269
7270
7271
7272
7273
7274
7275
7276
7277
7278
7279
7280
7281
7282
7283
7284
7285
7286
7287
7288
7289
7290
7291
7292
7293
7294
7295
7296
7297
7298
7299
7300
7301
7302
7303
7304
7305
7306
7307
7308
7309
7310
7311
7312
7313
7314
7315
7316
7317
7318
7319
7320
7321
7322
7323
7324
7325
7326
7327
7328
7329
7330
7331
7332
7333
7334
7335
7336
7337
7338
7339
7340
7341
7342
7343
7344
7345
7346
7347
7348
7349
7350
7351
7352
7353
7354
7355
7356
7357
7358
7359
7360
7361
7362
7363
7364
7365
7366
7367
7368
7369
7370
7371
7372
7373
7374
7375
7376
7377
7378
7379
7380
7381
7382
7383
7384
7385
7386
7387
7388
7389
7390
7391
7392
7393
7394
7395
7396
7397
7398
7399
7400
7401
7402
7403
7404
7405
7406
7407
7408
7409
7410
7411
7412
7413
7414
7415
7416
7417
7418
7419
7420
7421
7422
7423
7424
7425
7426
7427
7428
7429
7430
7431
7432
7433
7434
7435
7436
7437
7438
7439
7440
7441
7442
7443
7444
7445
7446
7447
7448
7449
7450
7451
7452
7453
7454
7455
7456
7457
7458
7459
7460
7461
7462
7463
7464
7465
7466
7467
7468
7469
7470
7471
7472
7473
7474
7475
7476
7477
7478
7479
7480
7481
7482
7483
7484
7485
7486
7487
7488
7489
7490
7491
7492
7493
7494
7495
7496
7497
7498
7499
7500
7501
7502
7503
7504
7505
7506
7507
7508
7509
7510
7511
7512
7513
7514
7515
7516
7517
7518
7519
7520
7521
7522
7523
7524
7525
7526
7527
7528
7529
7530
7531
7532
7533
7534
7535
7536
7537
7538
7539
7540
7541
7542
7543
7544
7545
7546
7547
7548
7549
7550
7551
7552
7553
7554
7555
7556
7557
7558
7559
7560
7561
7562
7563
7564
7565
7566
7567
7568
7569
7570
7571
7572
7573
7574
7575
7576
7577
7578
7579
7580
7581
7582
7583
7584
7585
7586
7587
7588
7589
7590
7591
7592
7593
7594
7595
7596
7597
7598
7599
7600
7601
7602
7603
7604
7605
7606
7607
7608
7609
7610
7611
7612
7613
7614
7615
7616
7617
7618
7619
7620
7621
7622
7623
7624
7625
7626
7627
7628
7629
7630
7631
7632
7633
7634
7635
7636
7637
7638
7639
7640
7641
7642
7643
7644
7645
7646
7647
7648
7649
7650
7651
7652
7653
7654
7655
7656
7657
7658
7659
7660
7661
7662
7663
7664
7665
7666
7667
7668
7669
7670
7671
7672
7673
7674
7675
7676
7677
7678
7679
7680
7681
7682
7683
7684
7685
7686
7687
7688
7689
7690
7691
7692
7693
7694
7695
7696
7697
7698
7699
7700
7701
7702
7703
7704
7705
7706
7707
7708
7709
7710
7711
7712
7713
7714
7715
7716
7717
7718
7719
7720
7721
7722
7723
7724
7725
7726
7727
7728
7729
7730
7731
7732
7733
7734
7735
7736
7737
7738
7739
7740
7741
7742
7743
7744
7745
7746
7747
7748
7749
7750
7751
7752
7753
7754
7755
7756
7757
7758
7759
7760
7761
7762
7763
7764
7765
7766
7767
7768
7769
7770
7771
7772
7773
7774
7775
7776
7777
7778
7779
7780
7781
7782
7783
7784
7785
7786
7787
7788
7789
7790
7791
7792
7793
7794
7795
7796
7797
7798
7799
7800
7801
7802
7803
7804
7805
7806
7807
7808
7809
7810
7811
7812
7813
7814
7815
7816
7817
7818
7819
7820
7821
7822
7823
7824
7825
7826
7827
7828
7829
7830
7831
7832
7833
7834
7835
7836
7837
7838
7839
7840
7841
7842
7843
7844
7845
7846
7847
7848
7849
7850
7851
7852
7853
7854
7855
7856
7857
7858
7859
7860
7861
7862
7863
7864
7865
7866
7867
7868
7869
7870
7871
7872
7873
7874
7875
7876
7877
7878
7879
7880
7881
7882
7883
7884
7885
7886
7887
7888
7889
7890
7891
7892
7893
7894
7895
7896
7897
7898
7899
7900
7901
7902
7903
7904
7905
7906
7907
7908
7909
7910
7911
7912
7913
7914
7915
7916
7917
7918
7919
7920
7921
7922
7923
7924
7925
7926
7927
7928
7929
7930
7931
7932
7933
7934
7935
7936
7937
7938
7939
7940
7941
7942
7943
7944
7945
7946
7947
7948
7949
7950
7951
7952
7953
7954
7955
7956
7957
7958
7959
7960
7961
7962
7963
7964
7965
7966
7967
7968
7969
7970
7971
7972
7973
7974
7975
7976
7977
7978
7979
7980
7981
7982
7983
7984
7985
7986
7987
7988
7989
7990
7991
7992
7993
7994
7995
7996
7997
7998
7999
8000
8001
8002
8003
8004
8005
8006
8007
8008
8009
8010
8011
8012
8013
8014
8015
8016
8017
8018
8019
8020
8021
8022
8023
8024
8025
8026
8027
8028
8029
8030
8031
8032
8033
8034
8035
8036
8037
8038
8039
8040
8041
8042
8043
8044
8045
8046
8047
8048
8049
8050
8051
8052
8053
8054
8055
8056
8057
8058
8059
8060
8061
8062
8063
8064
8065
8066
8067
8068
8069
8070
8071
8072
8073
8074
8075
8076
8077
8078
8079
8080
8081
8082
8083
8084
8085
8086
8087
8088
8089
8090
8091
8092
8093
8094
8095
8096
8097
8098
8099
8100
8101
8102
8103
8104
8105
8106
8107
8108
8109
8110
8111
8112
8113
8114
8115
8116
8117
8118
8119
8120
8121
8122
8123
8124
8125
8126
8127
8128
8129
8130
8131
8132
8133
8134
8135
8136
8137
8138
8139
8140
8141
8142
8143
8144
8145
8146
8147
8148
8149
8150
8151
8152
8153
8154
8155
8156
8157
8158
8159
8160
8161
8162
8163
8164
8165
8166
8167
8168
8169
8170
8171
8172
8173
8174
8175
8176
8177
8178
8179
8180
8181
8182
8183
8184
8185
8186
8187
8188
8189
8190
8191
8192
8193
8194
8195
8196
8197
8198
8199
8200
8201
8202
8203
8204
8205
8206
8207
8208
8209
8210
8211
8212
8213
8214
8215
8216
8217
8218
8219
8220
8221
8222
8223
8224
8225
8226
8227
8228
8229
8230
8231
8232
8233
8234
8235
8236
8237
8238
8239
8240
8241
8242
8243
8244
8245
8246
8247
8248
8249
8250
8251
8252
8253
8254
8255
8256
8257
8258
8259
8260
8261
8262
8263
8264
8265
8266
8267
8268
8269
8270
8271
8272
8273
8274
8275
8276
8277
8278
8279
8280
8281
8282
8283
8284
8285
8286
8287
8288
8289
8290
8291
8292
8293
8294
8295
8296
8297
8298
8299
8300
8301
8302
8303
8304
8305
8306
8307
8308
8309
8310
8311
8312
8313
8314
8315
8316
8317
8318
8319
8320
8321
8322
8323
8324
8325
8326
8327
8328
8329
8330
8331
8332
8333
8334
8335
8336
8337
8338
8339
8340
8341
8342
8343
8344
8345
8346
8347
8348
8349
8350
8351
8352
8353
8354
8355
8356
8357
8358
8359
8360
8361
8362
8363
8364
8365
8366
8367
8368
8369
8370
8371
8372
8373
8374
8375
8376
8377
8378
8379
8380
8381
8382
8383
8384
8385
8386
8387
8388
8389
8390
8391
8392
8393
8394
8395
8396
8397
8398
8399
8400
8401
8402
8403
8404
8405
8406
8407
8408
8409
8410
8411
8412
8413
8414
8415
8416
8417
8418
8419
8420
8421
8422
8423
8424
8425
8426
8427
8428
8429
8430
8431
8432
8433
8434
8435
8436
8437
8438
8439
8440
8441
8442
8443
8444
8445
8446
8447
8448
8449
8450
8451
8452
8453
8454
8455
8456
8457
8458
8459
8460
8461
8462
8463
8464
8465
8466
8467
8468
8469
8470
8471
8472
8473
8474
8475
8476
8477
8478
8479
8480
8481
8482
8483
8484
8485
8486
8487
8488
8489
8490
8491
8492
8493
8494
8495
8496
8497
8498
8499
8500
8501
8502
8503
8504
8505
8506
8507
8508
8509
8510
8511
8512
8513
8514
8515
8516
8517
8518
8519
8520
8521
8522
8523
8524
8525
8526
8527
8528
8529
8530
8531
8532
8533
8534
8535
8536
8537
8538
8539
8540
8541
8542
8543
8544
8545
8546
8547
8548
8549
8550
8551
8552
8553
8554
8555
8556
8557
8558
8559
8560
8561
8562
8563
8564
8565
8566
8567
8568
8569
8570
8571
8572
8573
8574
8575
8576
8577
8578
8579
8580
8581
8582
8583
8584
8585
8586
8587
8588
8589
8590
8591
8592
8593
8594
8595
8596
8597
8598
8599
8600
8601
8602
8603
8604
8605
8606
8607
8608
8609
8610
8611
8612
8613
8614
8615
8616
8617
8618
8619
8620
8621
8622
8623
8624
8625
8626
8627
8628
8629
8630
8631
8632
8633
8634
8635
8636
8637
8638
8639
8640
8641
8642
8643
8644
8645
8646
8647
8648
8649
8650
8651
8652
8653
8654
8655
8656
8657
8658
8659
8660
8661
8662
8663
8664
8665
8666
8667
8668
8669
8670
8671
8672
8673
8674
8675
8676
8677
8678
8679
8680
8681
8682
8683
8684
8685
8686
8687
8688
8689
8690
8691
8692
8693
8694
8695
8696
8697
8698
8699
8700
8701
8702
8703
8704
8705
8706
8707
8708
8709
8710
8711
8712
8713
8714
8715
8716
8717
8718
8719
8720
8721
8722
8723
8724
8725
8726
8727
8728
8729
8730
8731
8732
8733
8734
8735
8736
8737
8738
8739
8740
8741
8742
8743
8744
8745
8746
8747
8748
8749
8750
8751
8752
8753
8754
8755
8756
8757
8758
8759
8760
8761
8762
8763
8764
8765
8766
8767
8768
8769
8770
8771
8772
8773
8774
8775
8776
8777
8778
8779
8780
8781
8782
8783
8784
8785
8786
8787
8788
8789
8790
8791
8792
8793
8794
8795
8796
8797
8798
8799
8800
8801
8802
8803
8804
8805
8806
8807
8808
8809
8810
8811
8812
8813
8814
8815
8816
8817
8818
8819
8820
8821
8822
8823
8824
8825
8826
8827
8828
8829
8830
8831
8832
8833
8834
8835
8836
8837
8838
8839
8840
8841
8842
8843
8844
8845
8846
8847
8848
8849
8850
8851
8852
8853
8854
8855
8856
8857
8858
8859
8860
8861
8862
8863
8864
8865
8866
8867
8868
8869
8870
8871
8872
8873
8874
8875
8876
8877
8878
8879
8880
8881
8882
8883
8884
8885
8886
8887
8888
8889
8890
8891
8892
8893
8894
8895
8896
8897
8898
8899
8900
8901
8902
8903
8904
8905
8906
8907
8908
8909
8910
8911
8912
8913
8914
8915
8916
8917
8918
8919
8920
8921
8922
8923
8924
8925
8926
8927
8928
8929
8930
8931
8932
8933
8934
8935
8936
8937
8938
8939
8940
8941
8942
8943
8944
8945
8946
8947
8948
8949
8950
8951
8952
8953
8954
8955
8956
8957
8958
8959
8960
8961
8962
8963
8964
8965
8966
8967
8968
8969
8970
8971
8972
8973
8974
8975
8976
8977
8978
8979
8980
8981
8982
8983
8984
8985
8986
8987
8988
8989
8990
8991
8992
8993
8994
8995
8996
8997
8998
8999
9000
9001
9002
9003
9004
9005
9006
9007
9008
9009
9010
9011
9012
9013
9014
9015
9016
9017
9018
9019
9020
9021
9022
9023
9024
9025
9026
9027
9028
9029
9030
9031
9032
9033
9034
9035
9036
9037
9038
9039
9040
9041
9042
9043
9044
9045
9046
9047
9048
9049
9050
9051
9052
9053
9054
9055
9056
9057
9058
9059
9060
9061
9062
9063
9064
9065
9066
9067
9068
9069
9070
9071
9072
9073
9074
9075
9076
9077
9078
9079
9080
9081
9082
9083
9084
9085
9086
9087
9088
9089
9090
9091
9092
9093
9094
9095
9096
9097
9098
9099
9100
9101
9102
9103
9104
9105
9106
9107
9108
9109
9110
9111
9112
9113
9114
9115
9116
9117
9118
9119
9120
9121
9122
9123
9124
9125
9126
9127
9128
9129
9130
9131
9132
9133
9134
9135
9136
9137
9138
9139
9140
9141
9142
9143
9144
9145
9146
9147
9148
9149
9150
9151
9152
9153
9154
9155
9156
9157
9158
9159
9160
9161
9162
9163
9164
9165
9166
9167
9168
9169
9170
9171
9172
9173
9174
9175
9176
9177
9178
9179
9180
9181
9182
9183
9184
9185
9186
9187
9188
9189
9190
9191
9192
9193
9194
9195
9196
9197
9198
9199
9200
9201
9202
9203
9204
9205
9206
9207
9208
9209
9210
9211
9212
9213
9214
9215
9216
9217
9218
9219
9220
9221
9222
9223
9224
9225
9226
9227
9228
9229
9230
9231
9232
9233
9234
9235
9236
9237
9238
9239
9240
9241
9242
9243
9244
9245
9246
9247
9248
9249
9250
9251
9252
9253
9254
9255
9256
9257
9258
9259
9260
9261
9262
9263
9264
9265
9266
9267
9268
9269
9270
9271
9272
9273
9274
9275
9276
9277
9278
9279
9280
9281
9282
9283
9284
9285
9286
9287
9288
9289
9290
9291
9292
9293
9294
9295
9296
9297
9298
9299
9300
9301
9302
9303
9304
9305
9306
9307
9308
9309
9310
9311
9312
9313
9314
9315
9316
9317
9318
9319
9320
9321
9322
9323
9324
9325
9326
9327
9328
9329
9330
9331
9332
9333
9334
9335
9336
9337
9338
9339
9340
9341
9342
9343
9344
9345
9346
9347
9348
9349
9350
9351
9352
9353
9354
9355
9356
9357
9358
9359
9360
9361
9362
9363
9364
9365
9366
9367
9368
9369
9370
9371
9372
9373
9374
9375
9376
9377
9378
9379
9380
9381
9382
9383
9384
9385
9386
9387
9388
9389
9390
9391
9392
9393
9394
9395
9396
9397
9398
9399
9400
9401
9402
9403
9404
9405
9406
9407
9408
9409
9410
9411
9412
9413
9414
9415
9416
9417
9418
9419
9420
9421
9422
9423
9424
9425
9426
9427
9428
9429
9430
9431
9432
9433
9434
9435
9436
9437
9438
9439
9440
9441
9442
9443
9444
9445
9446
9447
9448
9449
9450
9451
9452
9453
9454
9455
9456
9457
9458
9459
9460
9461
9462
9463
9464
9465
9466
9467
9468
9469
9470
9471
9472
9473
9474
9475
9476
9477
9478
9479
9480
9481
9482
9483
9484
9485
9486
9487
9488
9489
9490
9491
9492
9493
9494
9495
9496
9497
9498
9499
9500
9501
9502
9503
9504
9505
9506
9507
9508
9509
9510
9511
9512
9513
9514
9515
9516
9517
9518
9519
9520
9521
9522
9523
9524
9525
9526
9527
9528
9529
9530
9531
9532
9533
9534
9535
9536
9537
9538
9539
9540
9541
9542
9543
9544
9545
9546
9547
9548
9549
9550
9551
9552
9553
9554
9555
9556
9557
9558
9559
9560
9561
9562
9563
9564
9565
9566
9567
9568
9569
9570
9571
9572
9573
9574
9575
9576
9577
9578
9579
9580
9581
9582
9583
9584
9585
9586
9587
9588
9589
9590
9591
9592
9593
9594
9595
9596
9597
9598
9599
9600
9601
9602
9603
9604
9605
9606
9607
9608
9609
9610
9611
9612
9613
9614
9615
9616
9617
9618
9619
9620
9621
9622
9623
9624
9625
9626
9627
9628
9629
9630
9631
9632
9633
9634
9635
9636
9637
9638
9639
9640
9641
9642
9643
9644
9645
9646
9647
9648
9649
9650
9651
9652
9653
9654
9655
9656
9657
9658
9659
9660
9661
9662
9663
9664
9665
9666
9667
9668
9669
9670
9671
9672
9673
9674
9675
9676
9677
9678
9679
9680
9681
9682
9683
9684
9685
9686
9687
9688
9689
9690
9691
9692
9693
9694
9695
9696
9697
9698
9699
9700
9701
9702
9703
9704
9705
9706
9707
9708
9709
9710
9711
9712
9713
9714
9715
9716
9717
9718
9719
9720
9721
9722
9723
9724
9725
9726
9727
9728
9729
9730
9731
9732
9733
9734
9735
9736
9737
9738
9739
9740
9741
9742
9743
9744
9745
9746
9747
9748
9749
9750
9751
9752
9753
9754
9755
9756
9757
9758
9759
9760
9761
9762
9763
9764
9765
9766
9767
9768
9769
9770
9771
9772
9773
9774
9775
9776
9777
9778
9779
9780
9781
9782
9783
9784
9785
9786
9787
9788
9789
9790
9791
9792
9793
9794
9795
9796
9797
9798
9799
9800
9801
9802
9803
9804
9805
9806
9807
9808
9809
9810
9811
9812
9813
9814
9815
9816
9817
9818
9819
9820
9821
9822
9823
9824
9825
9826
9827
9828
9829
9830
9831
9832
9833
9834
9835
9836
9837
9838
9839
9840
9841
9842
9843
9844
9845
9846
9847
9848
9849
9850
9851
9852
9853
9854
9855
9856
9857
9858
9859
9860
9861
9862
9863
9864
9865
9866
9867
9868
9869
9870
9871
9872
9873
9874
9875
9876
9877
9878
9879
9880
9881
9882
9883
9884
9885
9886
9887
9888
9889
9890
9891
9892
9893
9894
9895
9896
9897
9898
9899
9900
9901
9902
9903
9904
9905
9906
9907
9908
9909
9910
9911
9912
9913
9914
9915
9916
9917
9918
9919
9920
9921
9922
9923
9924
9925
9926
9927
9928
9929
9930
9931
9932
9933
9934
9935
9936
9937
9938
9939
9940
9941
9942
9943
9944
9945
9946
9947
9948
9949
9950
9951
9952
9953
9954
9955
9956
9957
9958
9959
9960
9961
9962
9963
9964
9965
9966
9967
9968
9969
9970
9971
9972
9973
9974
9975
9976
9977
9978
9979
9980
9981
9982
9983
9984
9985
9986
9987
9988
9989
9990
9991
9992
9993
9994
9995
9996
9997
9998
9999
10000
10001
10002
10003
10004
10005
10006
10007
10008
10009
10010
10011
10012
10013
10014
10015
10016
10017
10018
10019
10020
10021
10022
10023
10024
10025
10026
10027
10028
10029
10030
10031
10032
10033
10034
10035
10036
10037
10038
10039
10040
10041
10042
10043
10044
10045
10046
10047
10048
10049
10050
10051
10052
10053
10054
10055
10056
10057
10058
10059
10060
10061
10062
10063
10064
10065
10066
10067
10068
10069
10070
10071
10072
10073
10074
10075
10076
10077
10078
10079
10080
10081
10082
10083
10084
10085
10086
10087
10088
10089
10090
10091
10092
10093
10094
10095
10096
10097
10098
10099
10100
10101
10102
10103
10104
10105
10106
10107
10108
10109
10110
10111
10112
10113
10114
10115
10116
10117
10118
10119
10120
10121
10122
10123
10124
10125
10126
10127
10128
10129
10130
10131
10132
10133
10134
10135
10136
10137
10138
10139
10140
10141
10142
10143
10144
10145
10146
10147
10148
10149
10150
10151
10152
10153
10154
10155
10156
10157
10158
10159
10160
10161
10162
10163
10164
10165
10166
10167
10168
10169
10170
10171
10172
10173
10174
10175
10176
10177
10178
10179
10180
10181
10182
10183
10184
10185
10186
10187
10188
10189
10190
10191
10192
10193
10194
10195
10196
10197
10198
10199
10200
10201
10202
10203
10204
10205
10206
10207
10208
10209
10210
10211
10212
10213
10214
10215
10216
10217
10218
10219
10220
10221
10222
10223
10224
10225
10226
10227
10228
10229
10230
10231
10232
10233
10234
10235
10236
10237
10238
10239
10240
10241
10242
10243
10244
10245
10246
10247
10248
10249
10250
10251
10252
10253
10254
10255
10256
10257
10258
10259
10260
10261
10262
10263
10264
10265
10266
10267
10268
10269
10270
10271
10272
10273
10274
10275
10276
10277
10278
10279
10280
10281
10282
10283
10284
10285
10286
10287
10288
10289
10290
10291
10292
10293
10294
10295
10296
10297
10298
10299
10300
10301
10302
10303
10304
10305
10306
10307
10308
10309
10310
10311
10312
10313
10314
10315
10316
10317
10318
10319
10320
10321
10322
10323
10324
10325
10326
10327
10328
10329
10330
10331
10332
10333
10334
10335
10336
10337
10338
10339
10340
10341
10342
10343
10344
10345
10346
10347
10348
10349
10350
10351
10352
10353
10354
10355
10356
10357
10358
10359
10360
10361
10362
10363
10364
10365
10366
10367
10368
10369
10370
10371
10372
10373
10374
10375
10376
10377
10378
10379
10380
10381
10382
10383
10384
10385
10386
10387
10388
10389
10390
10391
10392
10393
10394
10395
10396
10397
10398
10399
10400
10401
10402
10403
10404
10405
10406
10407
10408
10409
10410
10411
10412
10413
10414
10415
10416
10417
10418
10419
10420
10421
10422
10423
10424
10425
10426
10427
10428
10429
10430
10431
10432
10433
10434
10435
10436
10437
10438
10439
10440
10441
10442
10443
10444
10445
10446
10447
10448
10449
10450
10451
10452
10453
10454
10455
10456
10457
10458
10459
10460
10461
10462
10463
10464
10465
10466
10467
10468
10469
10470
10471
10472
10473
10474
10475
10476
10477
10478
10479
10480
10481
10482
10483
10484
10485
10486
10487
10488
10489
10490
10491
10492
10493
10494
10495
10496
10497
10498
10499
10500
10501
10502
10503
10504
10505
10506
10507
10508
10509
10510
10511
10512
10513
10514
10515
10516
10517
10518
10519
10520
10521
10522
10523
10524
10525
10526
10527
10528
10529
10530
10531
10532
10533
10534
10535
10536
10537
10538
10539
10540
10541
10542
10543
10544
10545
10546
10547
10548
10549
10550
10551
10552
10553
10554
10555
10556
10557
10558
10559
10560
10561
10562
10563
10564
10565
10566
10567
10568
10569
10570
10571
10572
10573
10574
10575
10576
10577
10578
10579
10580
10581
10582
10583
10584
10585
10586
10587
10588
10589
10590
10591
10592
10593
10594
10595
10596
10597
10598
10599
10600
10601
10602
10603
10604
10605
10606
10607
10608
10609
10610
10611
10612
10613
10614
10615
10616
10617
10618
10619
10620
10621
10622
10623
10624
10625
10626
10627
10628
10629
10630
10631
10632
10633
10634
10635
10636
10637
10638
10639
10640
10641
10642
10643
10644
10645
10646
10647
10648
10649
10650
10651
10652
10653
10654
10655
10656
10657
10658
10659
10660
10661
10662
10663
10664
10665
10666
10667
10668
10669
10670
10671
10672
10673
10674
10675
10676
10677
10678
10679
10680
10681
10682
10683
10684
10685
10686
10687
10688
10689
10690
10691
10692
10693
10694
10695
10696
10697
10698
10699
10700
10701
10702
10703
10704
10705
10706
10707
10708
10709
10710
10711
10712
10713
10714
10715
10716
10717
10718
10719
10720
10721
10722
10723
10724
10725
10726
10727
10728
10729
10730
10731
10732
10733
10734
10735
10736
10737
10738
10739
10740
10741
10742
10743
10744
10745
10746
10747
10748
10749
10750
10751
10752
10753
10754
10755
10756
10757
10758
10759
10760
10761
10762
10763
10764
10765
10766
10767
10768
10769
10770
10771
10772
10773
10774
10775
10776
10777
10778
10779
10780
10781
10782
10783
10784
10785
10786
10787
10788
10789
10790
10791
10792
10793
10794
10795
10796
10797
10798
10799
10800
10801
10802
10803
10804
10805
10806
10807
10808
10809
10810
10811
10812
10813
10814
10815
10816
10817
10818
10819
10820
10821
10822
10823
10824
10825
10826
10827
10828
10829
10830
10831
10832
10833
10834
10835
10836
10837
10838
10839
10840
10841
10842
10843
10844
10845
10846
10847
10848
10849
10850
10851
10852
10853
10854
10855
10856
10857
10858
10859
10860
10861
10862
10863
10864
10865
10866
10867
10868
10869
10870
10871
10872
10873
10874
10875
10876
10877
10878
10879
10880
10881
10882
10883
10884
10885
10886
10887
10888
10889
10890
10891
10892
10893
10894
10895
10896
10897
10898
10899
10900
10901
10902
10903
10904
10905
10906
10907
10908
10909
10910
10911
10912
10913
10914
10915
10916
10917
10918
10919
10920
10921
10922
10923
10924
10925
10926
10927
10928
10929
10930
10931
10932
10933
10934
10935
10936
10937
10938
10939
10940
10941
10942
10943
10944
10945
10946
10947
10948
10949
10950
10951
10952
10953
10954
10955
10956
10957
10958
10959
10960
10961
10962
10963
10964
10965
10966
10967
10968
10969
10970
10971
10972
10973
10974
10975
10976
10977
10978
10979
10980
10981
10982
10983
10984
10985
10986
10987
10988
10989
10990
10991
10992
10993
10994
10995
10996
10997
10998
10999
11000
11001
11002
11003
11004
11005
11006
11007
11008
11009
11010
11011
11012
11013
11014
11015
11016
11017
11018
11019
11020
11021
11022
11023
11024
11025
11026
11027
11028
11029
11030
11031
11032
11033
11034
11035
11036
11037
11038
11039
11040
11041
11042
11043
11044
11045
11046
11047
11048
11049
11050
11051
11052
11053
11054
11055
11056
11057
11058
11059
11060
11061
11062
11063
11064
11065
11066
11067
11068
11069
11070
11071
11072
11073
11074
11075
11076
11077
11078
11079
11080
11081
11082
11083
11084
11085
11086
11087
11088
11089
11090
11091
11092
11093
11094
11095
11096
11097
11098
11099
11100
11101
11102
11103
11104
11105
11106
11107
11108
11109
11110
11111
11112
11113
11114
11115
11116
11117
11118
11119
11120
11121
11122
11123
11124
11125
11126
11127
11128
11129
11130
11131
11132
11133
11134
11135
11136
11137
11138
11139
11140
11141
11142
11143
11144
11145
11146
11147
11148
11149
11150
11151
11152
11153
11154
11155
11156
11157
11158
11159
11160
11161
11162
11163
11164
11165
11166
11167
11168
11169
11170
11171
11172
11173
11174
11175
11176
11177
11178
11179
11180
11181
11182
11183
11184
11185
11186
11187
11188
11189
11190
11191
11192
11193
11194
11195
11196
11197
11198
11199
11200
11201
11202
11203
11204
11205
11206
11207
11208
11209
11210
11211
11212
11213
11214
11215
11216
11217
11218
11219
11220
11221
11222
11223
11224
11225
11226
11227
11228
11229
11230
11231
11232
11233
11234
11235
11236
11237
11238
11239
11240
11241
11242
11243
11244
11245
11246
11247
11248
11249
11250
11251
11252
11253
11254
11255
11256
11257
11258
11259
11260
11261
11262
11263
11264
11265
11266
11267
11268
11269
11270
11271
11272
11273
11274
11275
11276
11277
11278
11279
11280
11281
11282
11283
11284
11285
11286
11287
11288
11289
11290
11291
11292
11293
11294
11295
11296
11297
11298
11299
11300
11301
11302
11303
11304
11305
11306
11307
11308
11309
11310
11311
11312
11313
11314
11315
11316
11317
11318
11319
11320
11321
11322
11323
11324
11325
11326
11327
11328
11329
11330
11331
11332
11333
11334
11335
11336
11337
11338
11339
11340
11341
11342
11343
11344
11345
11346
11347
11348
11349
11350
11351
11352
11353
11354
11355
11356
11357
11358
11359
11360
11361
11362
11363
11364
11365
11366
11367
11368
11369
11370
11371
11372
11373
11374
11375
11376
11377
11378
11379
11380
11381
11382
11383
11384
11385
11386
11387
11388
11389
11390
11391
11392
11393
11394
11395
11396
11397
11398
11399
11400
11401
11402
11403
11404
11405
11406
11407
11408
11409
11410
11411
11412
11413
11414
11415
11416
11417
11418
11419
11420
11421
11422
11423
11424
11425
11426
11427
11428
11429
11430
11431
11432
11433
11434
11435
11436
11437
11438
11439
11440
11441
11442
11443
11444
11445
11446
11447
11448
11449
11450
11451
11452
11453
11454
11455
11456
11457
11458
11459
11460
11461
11462
11463
11464
11465
11466
11467
11468
11469
11470
11471
11472
11473
11474
11475
11476
11477
11478
11479
11480
11481
11482
11483
11484
11485
11486
11487
11488
11489
11490
11491
11492
11493
11494
11495
11496
11497
11498
11499
11500
11501
11502
11503
11504
11505
11506
11507
11508
11509
11510
11511
11512
11513
11514
11515
11516
11517
11518
11519
11520
11521
11522
11523
11524
11525
11526
11527
11528
11529
11530
11531
11532
11533
11534
11535
11536
11537
11538
11539
11540
11541
11542
11543
11544
11545
11546
11547
11548
11549
11550
11551
11552
11553
11554
11555
11556
11557
11558
11559
11560
11561
11562
11563
11564
11565
11566
11567
11568
11569
11570
11571
11572
11573
11574
11575
11576
11577
11578
11579
11580
11581
11582
11583
11584
11585
11586
11587
11588
11589
11590
11591
11592
11593
11594
11595
11596
11597
11598
11599
11600
11601
11602
11603
11604
11605
11606
11607
11608
11609
11610
11611
11612
11613
11614
11615
11616
11617
11618
11619
11620
11621
11622
11623
11624
11625
11626
11627
11628
11629
11630
11631
11632
11633
11634
11635
11636
11637
11638
11639
11640
11641
11642
11643
11644
11645
11646
11647
11648
11649
11650
11651
11652
11653
11654
11655
11656
11657
11658
11659
11660
11661
11662
11663
11664
11665
11666
11667
11668
11669
11670
11671
11672
11673
11674
11675
11676
11677
11678
11679
11680
11681
11682
11683
11684
11685
11686
11687
11688
11689
11690
11691
11692
11693
11694
11695
11696
11697
11698
11699
11700
11701
11702
11703
11704
11705
11706
11707
11708
11709
11710
11711
11712
11713
11714
11715
11716
11717
11718
11719
11720
11721
11722
11723
11724
11725
11726
11727
11728
11729
11730
11731
11732
11733
11734
11735
11736
11737
11738
11739
11740
11741
11742
11743
11744
11745
11746
11747
11748
11749
11750
11751
11752
11753
11754
11755
11756
11757
11758
11759
11760
11761
11762
11763
11764
11765
11766
11767
11768
11769
11770
11771
11772
11773
11774
11775
11776
11777
11778
11779
11780
11781
11782
11783
11784
11785
11786
11787
11788
11789
11790
11791
11792
11793
11794
11795
11796
11797
11798
11799
11800
11801
11802
11803
11804
11805
11806
11807
11808
11809
11810
11811
11812
11813
11814
11815
11816
11817
11818
11819
11820
11821
11822
11823
11824
11825
11826
11827
11828
11829
11830
11831
11832
11833
11834
11835
11836
11837
11838
11839
11840
11841
11842
11843
11844
11845
11846
11847
11848
11849
11850
11851
11852
11853
11854
11855
11856
11857
11858
11859
11860
11861
11862
11863
11864
11865
11866
11867
11868
11869
11870
11871
11872
11873
11874
11875
11876
11877
11878
11879
11880
11881
11882
11883
11884
11885
11886
11887
11888
11889
11890
11891
11892
11893
11894
11895
11896
11897
11898
11899
11900
11901
11902
11903
11904
11905
11906
11907
11908
11909
11910
11911
11912
11913
11914
11915
11916
11917
11918
11919
11920
11921
11922
11923
11924
11925
11926
11927
11928
11929
11930
11931
11932
11933
11934
11935
11936
11937
11938
11939
11940
11941
11942
11943
11944
11945
11946
11947
11948
11949
11950
11951
11952
11953
11954
11955
11956
11957
11958
11959
11960
11961
11962
11963
11964
11965
11966
11967
11968
11969
11970
11971
11972
11973
11974
11975
11976
11977
11978
11979
11980
11981
11982
11983
11984
11985
11986
11987
11988
11989
11990
11991
11992
11993
11994
11995
11996
11997
11998
11999
12000
12001
12002
12003
12004
12005
12006
12007
12008
12009
12010
12011
12012
12013
12014
12015
12016
12017
12018
12019
12020
12021
12022
12023
12024
12025
12026
12027
12028
12029
12030
12031
12032
12033
12034
12035
12036
12037
12038
12039
12040
12041
12042
12043
12044
12045
12046
12047
12048
12049
12050
12051
12052
12053
12054
12055
12056
12057
12058
12059
12060
12061
12062
12063
12064
12065
12066
12067
12068
12069
12070
12071
12072
12073
12074
12075
12076
12077
12078
12079
12080
12081
12082
12083
12084
12085
12086
12087
12088
12089
12090
12091
12092
12093
12094
12095
12096
12097
12098
12099
12100
12101
12102
12103
12104
12105
12106
12107
12108
12109
12110
12111
12112
12113
12114
12115
12116
12117
12118
12119
12120
12121
12122
12123
12124
12125
12126
12127
12128
12129
12130
12131
12132
12133
12134
12135
12136
12137
12138
12139
12140
12141
12142
12143
12144
12145
12146
12147
12148
12149
12150
12151
12152
12153
12154
12155
12156
12157
12158
12159
12160
12161
12162
12163
12164
12165
12166
12167
12168
12169
12170
12171
12172
12173
12174
12175
12176
12177
12178
12179
12180
12181
12182
12183
12184
12185
12186
12187
12188
12189
12190
12191
12192
12193
12194
12195
12196
12197
12198
12199
12200
12201
12202
12203
12204
12205
12206
12207
12208
12209
12210
12211
12212
12213
12214
12215
12216
12217
12218
12219
12220
12221
12222
12223
12224
12225
12226
12227
12228
12229
12230
12231
12232
12233
12234
12235
12236
12237
12238
12239
12240
12241
12242
12243
12244
12245
12246
12247
12248
12249
12250
12251
12252
12253
12254
12255
12256
12257
12258
12259
12260
12261
12262
12263
12264
12265
12266
12267
12268
12269
12270
12271
12272
12273
12274
12275
12276
12277
12278
12279
12280
12281
12282
12283
12284
12285
12286
12287
12288
12289
12290
12291
12292
12293
12294
12295
12296
12297
12298
12299
12300
12301
12302
12303
12304
12305
12306
12307
12308
12309
12310
12311
12312
12313
12314
12315
12316
12317
12318
12319
12320
12321
12322
12323
12324
12325
12326
12327
12328
12329
12330
12331
12332
12333
12334
12335
12336
12337
12338
12339
12340
12341
12342
12343
12344
12345
12346
12347
12348
12349
12350
12351
12352
12353
12354
12355
12356
12357
12358
12359
12360
12361
12362
12363
12364
12365
12366
12367
12368
12369
12370
12371
12372
12373
12374
12375
12376
12377
12378
12379
12380
12381
12382
12383
12384
12385
12386
12387
12388
12389
12390
12391
12392
12393
12394
12395
12396
12397
12398
12399
12400
12401
12402
12403
12404
12405
12406
12407
12408
12409
12410
12411
12412
12413
12414
12415
12416
12417
12418
12419
12420
12421
12422
12423
12424
12425
12426
12427
12428
12429
12430
12431
12432
12433
12434
12435
12436
12437
12438
12439
12440
12441
12442
12443
12444
12445
12446
12447
12448
12449
12450
12451
12452
12453
12454
12455
12456
12457
12458
12459
12460
12461
12462
12463
12464
12465
12466
12467
12468
12469
12470
12471
12472
12473
12474
12475
12476
12477
12478
12479
12480
12481
12482
12483
12484
12485
12486
12487
12488
12489
12490
12491
12492
12493
12494
12495
12496
12497
12498
12499
12500
12501
12502
12503
12504
12505
12506
12507
12508
12509
12510
12511
12512
12513
12514
12515
12516
12517
12518
12519
12520
12521
12522
12523
12524
12525
12526
12527
12528
12529
12530
12531
12532
12533
12534
12535
12536
12537
12538
12539
12540
12541
12542
12543
12544
12545
12546
12547
12548
12549
12550
12551
12552
12553
12554
12555
12556
12557
12558
12559
12560
12561
12562
12563
12564
12565
12566
12567
12568
12569
12570
12571
12572
12573
12574
12575
12576
12577
12578
12579
12580
12581
12582
12583
12584
12585
12586
12587
12588
12589
12590
12591
12592
12593
12594
12595
12596
12597
12598
12599
12600
12601
12602
12603
12604
12605
12606
12607
12608
12609
12610
12611
12612
12613
12614
12615
12616
12617
12618
12619
12620
12621
12622
12623
12624
12625
12626
12627
12628
12629
12630
12631
12632
12633
12634
12635
12636
12637
12638
12639
12640
12641
12642
12643
12644
12645
12646
12647
12648
12649
12650
12651
12652
12653
12654
12655
12656
12657
12658
12659
12660
12661
12662
12663
12664
12665
12666
12667
12668
12669
12670
12671
12672
12673
12674
12675
12676
12677
12678
12679
12680
12681
12682
12683
12684
12685
12686
12687
12688
12689
12690
12691
12692
12693
12694
12695
12696
12697
12698
12699
12700
12701
12702
12703
12704
12705
12706
12707
12708
12709
12710
12711
12712
12713
12714
12715
12716
12717
12718
12719
12720
12721
12722
12723
12724
12725
12726
12727
12728
12729
12730
12731
12732
12733
12734
12735
12736
12737
12738
12739
12740
12741
12742
12743
12744
12745
12746
12747
12748
12749
12750
12751
12752
12753
12754
12755
12756
12757
12758
12759
12760
12761
12762
12763
12764
12765
12766
12767
12768
12769
12770
12771
12772
12773
12774
12775
12776
12777
12778
12779
12780
12781
12782
12783
12784
12785
12786
12787
12788
12789
12790
12791
12792
12793
12794
12795
12796
12797
12798
12799
12800
12801
12802
12803
12804
12805
12806
12807
12808
12809
12810
12811
12812
12813
12814
12815
12816
12817
12818
12819
12820
12821
12822
12823
12824
12825
12826
12827
12828
12829
12830
12831
12832
12833
12834
12835
12836
12837
12838
12839
12840
12841
12842
12843
12844
12845
12846
12847
12848
12849
12850
12851
12852
12853
12854
12855
12856
12857
12858
12859
12860
12861
12862
12863
12864
12865
12866
12867
12868
12869
12870
12871
12872
12873
12874
12875
12876
12877
12878
12879
12880
12881
12882
12883
12884
12885
12886
12887
12888
12889
12890
12891
12892
12893
12894
12895
12896
12897
12898
12899
12900
12901
12902
12903
12904
12905
12906
12907
12908
12909
12910
12911
12912
12913
12914
12915
12916
12917
12918
12919
12920
12921
12922
12923
12924
12925
12926
12927
12928
12929
12930
12931
12932
12933
12934
12935
12936
12937
12938
12939
12940
12941
12942
12943
12944
12945
12946
12947
12948
12949
12950
12951
12952
12953
12954
12955
12956
12957
12958
12959
12960
12961
12962
12963
12964
12965
12966
12967
12968
12969
12970
12971
12972
12973
12974
12975
12976
12977
12978
12979
12980
12981
12982
12983
12984
12985
12986
12987
12988
12989
12990
12991
12992
12993
12994
12995
12996
12997
12998
12999
13000
13001
13002
13003
13004
13005
13006
13007
13008
13009
13010
13011
13012
13013
13014
13015
13016
13017
13018
13019
13020
13021
13022
13023
13024
13025
13026
13027
13028
13029
13030
13031
13032
13033
13034
13035
13036
13037
13038
13039
13040
13041
13042
13043
13044
13045
13046
13047
13048
13049
13050
13051
13052
13053
13054
13055
13056
13057
13058
13059
13060
13061
13062
13063
13064
13065
13066
13067
13068
13069
13070
13071
13072
13073
13074
13075
13076
13077
13078
13079
13080
13081
13082
13083
13084
13085
13086
13087
13088
13089
13090
13091
13092
13093
13094
13095
13096
13097
13098
13099
13100
13101
13102
13103
13104
13105
13106
13107
13108
13109
13110
13111
13112
13113
13114
13115
13116
13117
13118
13119
13120
13121
13122
13123
13124
13125
13126
13127
13128
13129
13130
13131
13132
13133
13134
13135
13136
13137
13138
13139
13140
13141
13142
13143
13144
13145
13146
13147
13148
13149
13150
13151
13152
13153
13154
13155
13156
13157
13158
13159
13160
13161
13162
13163
13164
13165
13166
13167
13168
13169
13170
13171
13172
13173
13174
13175
13176
13177
13178
13179
13180
13181
13182
13183
13184
13185
13186
13187
13188
13189
13190
13191
13192
13193
13194
13195
13196
13197
13198
13199
13200
13201
13202
13203
13204
13205
13206
13207
13208
13209
13210
13211
13212
13213
13214
13215
13216
13217
13218
13219
13220
13221
13222
13223
13224
13225
13226
13227
13228
13229
13230
13231
13232
13233
13234
13235
13236
13237
13238
13239
13240
13241
13242
13243
13244
13245
13246
13247
13248
13249
13250
13251
13252
13253
13254
13255
13256
13257
13258
13259
13260
13261
13262
13263
13264
13265
13266
13267
13268
13269
13270
13271
13272
13273
13274
13275
13276
13277
13278
13279
13280
13281
13282
13283
13284
13285
13286
13287
13288
13289
13290
13291
13292
13293
13294
13295
13296
13297
13298
13299
13300
13301
13302
13303
13304
13305
13306
13307
13308
13309
13310
13311
13312
13313
13314
13315
13316
13317
13318
13319
13320
13321
13322
13323
13324
13325
13326
13327
13328
13329
13330
13331
13332
13333
13334
13335
13336
13337
13338
13339
13340
13341
13342
13343
13344
13345
13346
13347
13348
13349
13350
13351
13352
13353
13354
13355
13356
13357
13358
13359
13360
13361
13362
13363
13364
13365
13366
13367
13368
13369
13370
13371
13372
13373
13374
13375
13376
13377
13378
13379
13380
13381
13382
13383
13384
13385
13386
13387
13388
13389
13390
13391
13392
13393
13394
13395
13396
13397
13398
13399
13400
13401
13402
13403
13404
13405
13406
13407
13408
13409
13410
13411
13412
13413
13414
13415
13416
13417
13418
13419
13420
13421
13422
13423
13424
13425
13426
13427
13428
13429
13430
13431
13432
13433
13434
13435
13436
13437
13438
13439
13440
13441
13442
13443
13444
13445
13446
13447
13448
13449
13450
13451
13452
13453
13454
13455
13456
13457
13458
13459
13460
13461
13462
13463
13464
13465
13466
13467
13468
13469
13470
13471
13472
13473
13474
13475
13476
13477
13478
13479
13480
13481
13482
13483
13484
13485
13486
13487
13488
13489
13490
13491
13492
13493
13494
13495
13496
13497
13498
13499
13500
13501
13502
13503
13504
13505
13506
13507
13508
13509
13510
13511
13512
13513
13514
13515
13516
13517
13518
13519
13520
13521
13522
13523
13524
13525
13526
13527
13528
13529
13530
13531
13532
13533
13534
13535
13536
13537
13538
13539
13540
13541
13542
13543
13544
13545
13546
13547
13548
13549
13550
13551
13552
13553
13554
13555
13556
13557
13558
13559
13560
13561
13562
13563
13564
13565
13566
13567
13568
13569
13570
13571
13572
13573
13574
13575
13576
13577
13578
13579
13580
13581
13582
13583
13584
13585
13586
13587
13588
13589
13590
13591
13592
13593
13594
13595
13596
13597
13598
13599
13600
13601
13602
13603
13604
13605
13606
13607
13608
13609
13610
13611
13612
13613
13614
13615
13616
13617
13618
13619
13620
13621
13622
13623
13624
13625
13626
13627
13628
13629
13630
13631
13632
13633
13634
13635
13636
13637
13638
13639
13640
13641
13642
13643
13644
13645
13646
13647
13648
13649
13650
13651
13652
13653
13654
13655
13656
13657
13658
13659
13660
13661
13662
13663
13664
13665
13666
13667
13668
13669
13670
13671
13672
13673
13674
13675
13676
13677
13678
13679
13680
13681
13682
13683
13684
13685
13686
13687
13688
13689
13690
13691
13692
13693
13694
13695
13696
13697
13698
13699
13700
13701
13702
13703
13704
13705
13706
13707
13708
13709
13710
13711
13712
13713
13714
13715
13716
13717
13718
13719
13720
13721
13722
13723
13724
13725
13726
13727
13728
13729
13730
13731
13732
13733
13734
13735
13736
13737
13738
13739
13740
13741
13742
13743
13744
13745
13746
13747
13748
13749
13750
13751
13752
13753
13754
13755
13756
13757
13758
13759
13760
13761
13762
13763
13764
13765
13766
13767
13768
13769
13770
13771
13772
13773
13774
13775
13776
13777
13778
13779
13780
13781
13782
13783
13784
13785
13786
13787
13788
13789
13790
13791
13792
13793
13794
13795
13796
13797
13798
13799
13800
13801
13802
13803
13804
13805
13806
13807
13808
13809
13810
13811
13812
13813
13814
13815
13816
13817
13818
13819
13820
13821
13822
13823
13824
13825
13826
13827
13828
13829
13830
13831
13832
13833
13834
13835
13836
13837
13838
13839
13840
13841
13842
13843
13844
13845
13846
13847
13848
13849
13850
13851
13852
13853
13854
13855
13856
13857
13858
13859
13860
13861
13862
13863
13864
13865
13866
13867
13868
13869
13870
13871
13872
13873
13874
13875
13876
13877
13878
13879
13880
13881
13882
13883
13884
13885
13886
13887
13888
13889
13890
13891
13892
13893
13894
13895
13896
13897
13898
13899
13900
13901
13902
13903
13904
13905
13906
13907
13908
13909
13910
13911
13912
13913
13914
13915
13916
13917
13918
13919
13920
13921
13922
13923
13924
13925
13926
13927
13928
13929
13930
13931
13932
13933
13934
13935
13936
13937
13938
13939
13940
13941
13942
13943
13944
13945
13946
13947
13948
13949
13950
13951
13952
13953
13954
13955
13956
13957
13958
13959
13960
13961
13962
13963
13964
13965
13966
13967
13968
13969
13970
13971
13972
13973
13974
13975
13976
13977
13978
13979
13980
13981
13982
13983
13984
13985
13986
13987
13988
13989
13990
13991
13992
13993
13994
13995
13996
13997
13998
13999
14000
14001
14002
14003
14004
14005
14006
14007
14008
14009
14010
14011
14012
14013
14014
14015
14016
14017
14018
14019
14020
14021
14022
14023
14024
14025
14026
14027
14028
14029
14030
14031
14032
14033
14034
14035
14036
14037
14038
14039
14040
14041
14042
14043
14044
14045
14046
14047
14048
14049
14050
14051
14052
14053
14054
14055
14056
14057
14058
14059
14060
14061
14062
14063
14064
14065
14066
14067
14068
14069
14070
14071
14072
14073
14074
14075
14076
14077
14078
14079
14080
14081
14082
14083
14084
14085
14086
14087
14088
14089
14090
14091
14092
14093
14094
14095
14096
14097
14098
14099
14100
14101
14102
14103
14104
14105
14106
14107
14108
14109
14110
14111
14112
14113
14114
14115
14116
14117
14118
14119
14120
14121
14122
14123
14124
14125
14126
14127
14128
14129
14130
14131
14132
14133
14134
14135
14136
14137
14138
14139
14140
14141
14142
14143
14144
14145
14146
14147
14148
14149
14150
14151
14152
14153
14154
14155
14156
14157
14158
14159
14160
14161
14162
14163
14164
14165
14166
14167
14168
14169
14170
14171
14172
14173
14174
14175
14176
14177
14178
14179
14180
14181
14182
14183
14184
14185
14186
14187
14188
14189
14190
14191
14192
14193
14194
14195
14196
14197
14198
14199
14200
14201
14202
14203
14204
14205
14206
14207
14208
14209
14210
14211
14212
14213
14214
14215
14216
14217
14218
14219
14220
14221
14222
14223
14224
14225
14226
14227
14228
14229
14230
14231
14232
14233
14234
14235
14236
14237
14238
14239
14240
14241
14242
14243
14244
14245
14246
14247
14248
14249
14250
14251
14252
14253
14254
14255
14256
14257
14258
14259
14260
14261
14262
14263
14264
14265
14266
14267
14268
14269
14270
14271
14272
14273
14274
14275
14276
14277
14278
14279
14280
14281
14282
14283
14284
14285
14286
14287
14288
14289
14290
14291
14292
14293
14294
14295
14296
14297
14298
14299
14300
14301
14302
14303
14304
14305
14306
14307
14308
14309
14310
14311
14312
14313
14314
14315
14316
14317
14318
14319
14320
14321
14322
14323
14324
14325
14326
14327
14328
14329
14330
14331
14332
14333
14334
14335
14336
14337
14338
14339
14340
14341
14342
14343
14344
14345
14346
14347
14348
14349
14350
14351
14352
14353
14354
14355
14356
14357
14358
14359
14360
14361
14362
14363
14364
14365
14366
14367
14368
14369
14370
14371
14372
14373
14374
14375
14376
14377
14378
14379
14380
14381
14382
14383
14384
14385
14386
14387
14388
14389
14390
14391
14392
14393
14394
14395
14396
14397
14398
14399
14400
14401
14402
14403
14404
14405
14406
14407
14408
14409
14410
14411
14412
14413
14414
14415
14416
14417
14418
14419
14420
14421
14422
14423
14424
14425
14426
14427
14428
14429
14430
14431
14432
14433
14434
14435
14436
14437
14438
14439
14440
14441
14442
14443
14444
14445
14446
14447
14448
14449
14450
14451
14452
14453
14454
14455
14456
14457
14458
14459
14460
14461
14462
14463
14464
14465
14466
14467
14468
14469
14470
14471
14472
14473
14474
14475
14476
14477
14478
14479
14480
14481
14482
14483
14484
14485
14486
14487
14488
14489
14490
14491
14492
14493
14494
14495
14496
14497
14498
14499
14500
14501
14502
14503
14504
14505
14506
14507
14508
14509
14510
14511
14512
14513
14514
14515
14516
14517
14518
14519
14520
14521
14522
14523
14524
14525
14526
14527
14528
14529
14530
14531
14532
14533
14534
14535
14536
14537
14538
14539
14540
14541
14542
14543
14544
14545
14546
14547
14548
14549
14550
14551
14552
14553
14554
14555
14556
14557
14558
14559
14560
14561
14562
14563
14564
14565
14566
14567
14568
14569
14570
14571
14572
14573
14574
14575
14576
14577
14578
14579
14580
14581
14582
14583
14584
14585
14586
14587
14588
14589
14590
14591
14592
14593
14594
14595
14596
14597
14598
14599
14600
14601
14602
14603
14604
14605
14606
14607
14608
14609
14610
14611
14612
14613
14614
14615
14616
14617
14618
14619
14620
14621
14622
14623
14624
14625
14626
14627
14628
14629
14630
14631
14632
14633
14634
14635
14636
14637
14638
14639
14640
14641
14642
14643
14644
14645
14646
14647
14648
14649
14650
14651
14652
14653
14654
14655
14656
14657
14658
14659
14660
14661
14662
14663
14664
14665
14666
14667
14668
14669
14670
14671
14672
14673
14674
14675
14676
14677
14678
14679
14680
14681
14682
14683
14684
14685
14686
14687
14688
14689
14690
14691
14692
14693
14694
14695
14696
14697
14698
14699
14700
14701
14702
14703
14704
14705
14706
14707
14708
14709
14710
14711
14712
14713
14714
14715
14716
14717
14718
14719
14720
14721
14722
14723
14724
14725
14726
14727
14728
14729
14730
14731
14732
14733
14734
14735
14736
14737
14738
14739
14740
14741
14742
14743
14744
14745
14746
14747
14748
14749
14750
14751
14752
14753
14754
14755
14756
14757
14758
14759
14760
14761
14762
14763
14764
14765
14766
14767
14768
14769
14770
14771
14772
14773
14774
14775
14776
14777
14778
14779
14780
14781
14782
14783
14784
14785
14786
14787
14788
14789
14790
14791
14792
14793
14794
14795
14796
14797
14798
14799
14800
14801
14802
14803
14804
14805
14806
14807
14808
14809
14810
14811
14812
14813
14814
14815
14816
14817
14818
14819
14820
14821
14822
14823
14824
14825
14826
14827
14828
14829
14830
14831
14832
14833
14834
14835
14836
14837
14838
14839
14840
14841
14842
14843
14844
14845
14846
14847
14848
14849
14850
14851
14852
14853
14854
14855
14856
14857
14858
14859
14860
14861
14862
14863
14864
14865
14866
14867
14868
14869
14870
14871
14872
14873
14874
14875
14876
14877
14878
14879
14880
14881
14882
14883
14884
14885
14886
14887
14888
14889
14890
14891
14892
14893
14894
14895
14896
14897
14898
14899
14900
14901
14902
14903
14904
14905
14906
14907
14908
14909
14910
14911
14912
14913
14914
14915
14916
14917
14918
14919
14920
14921
14922
14923
14924
14925
14926
14927
14928
14929
14930
14931
14932
14933
14934
14935
14936
14937
14938
14939
14940
14941
14942
14943
14944
14945
14946
14947
14948
14949
14950
14951
14952
14953
14954
14955
14956
14957
14958
14959
14960
14961
14962
14963
14964
14965
14966
14967
14968
14969
14970
14971
14972
14973
14974
14975
14976
14977
14978
14979
14980
14981
14982
14983
14984
14985
14986
14987
14988
14989
14990
14991
14992
14993
14994
14995
14996
14997
14998
14999
15000
15001
15002
15003
15004
15005
15006
15007
15008
15009
15010
15011
15012
15013
15014
15015
15016
15017
15018
15019
15020
15021
15022
15023
15024
15025
15026
15027
15028
15029
15030
15031
15032
15033
15034
15035
15036
15037
15038
15039
15040
15041
15042
15043
15044
15045
15046
15047
15048
15049
15050
15051
15052
15053
15054
15055
15056
15057
15058
15059
15060
15061
15062
15063
15064
15065
15066
15067
15068
15069
15070
15071
15072
15073
15074
15075
15076
15077
15078
15079
15080
15081
15082
15083
15084
15085
15086
15087
15088
15089
15090
15091
15092
15093
15094
15095
15096
15097
15098
15099
15100
15101
15102
15103
15104
15105
15106
15107
15108
15109
15110
15111
15112
15113
15114
15115
15116
15117
15118
15119
15120
15121
15122
15123
15124
15125
15126
15127
15128
15129
15130
15131
15132
15133
15134
15135
15136
15137
15138
15139
15140
15141
15142
15143
15144
15145
15146
15147
15148
15149
15150
15151
15152
15153
15154
15155
15156
15157
15158
15159
15160
15161
15162
15163
15164
15165
15166
15167
15168
15169
15170
15171
15172
15173
15174
15175
15176
15177
15178
15179
15180
15181
15182
15183
15184
15185
15186
15187
15188
15189
15190
15191
15192
15193
15194
15195
15196
15197
15198
15199
15200
15201
15202
15203
15204
15205
15206
15207
15208
15209
15210
15211
15212
15213
15214
15215
15216
15217
15218
15219
15220
15221
15222
15223
15224
15225
15226
15227
15228
15229
15230
15231
15232
15233
15234
15235
15236
15237
15238
15239
15240
15241
15242
15243
15244
15245
15246
15247
15248
15249
15250
15251
15252
15253
15254
15255
15256
15257
15258
15259
15260
15261
15262
15263
15264
15265
15266
15267
15268
15269
15270
15271
15272
15273
15274
15275
15276
15277
15278
15279
15280
15281
15282
15283
15284
15285
15286
15287
15288
15289
15290
15291
15292
15293
15294
15295
15296
15297
15298
15299
15300
15301
15302
15303
15304
15305
15306
15307
15308
15309
15310
15311
15312
15313
15314
15315
15316
15317
15318
15319
15320
15321
15322
15323
15324
15325
15326
15327
15328
15329
15330
15331
15332
15333
15334
15335
15336
15337
15338
15339
15340
15341
15342
15343
15344
15345
15346
15347
15348
15349
15350
15351
15352
15353
15354
15355
15356
15357
15358
15359
15360
15361
15362
15363
15364
15365
15366
15367
15368
15369
15370
15371
15372
15373
15374
15375
15376
15377
15378
15379
15380
15381
15382
15383
15384
15385
15386
15387
15388
15389
15390
15391
15392
15393
15394
15395
15396
15397
15398
15399
15400
15401
15402
15403
15404
15405
15406
15407
15408
15409
15410
15411
15412
15413
15414
15415
15416
15417
15418
15419
15420
15421
15422
15423
15424
15425
15426
15427
15428
15429
15430
15431
15432
15433
15434
15435
15436
15437
15438
15439
15440
15441
15442
15443
15444
15445
15446
15447
15448
15449
15450
15451
15452
15453
15454
15455
15456
15457
15458
15459
15460
15461
15462
15463
15464
15465
15466
15467
15468
15469
15470
15471
15472
15473
15474
15475
15476
15477
15478
15479
15480
15481
15482
15483
15484
15485
15486
15487
15488
15489
15490
15491
15492
15493
15494
15495
15496
15497
15498
15499
15500
15501
15502
15503
15504
15505
15506
15507
15508
15509
15510
15511
15512
15513
15514
15515
15516
15517
15518
15519
15520
15521
15522
15523
15524
15525
15526
15527
15528
15529
15530
15531
15532
15533
15534
15535
15536
15537
15538
15539
15540
15541
15542
15543
15544
15545
15546
15547
15548
15549
15550
15551
15552
15553
15554
15555
15556
15557
15558
15559
15560
15561
15562
15563
15564
15565
15566
15567
15568
15569
15570
15571
15572
15573
15574
15575
15576
15577
15578
15579
15580
15581
15582
15583
15584
15585
15586
15587
15588
15589
15590
15591
15592
15593
15594
15595
15596
15597
15598
15599
15600
15601
15602
15603
15604
15605
15606
15607
15608
15609
15610
15611
15612
15613
15614
15615
15616
15617
15618
15619
15620
15621
15622
15623
15624
15625
15626
15627
15628
15629
15630
15631
15632
15633
15634
15635
15636
15637
15638
15639
15640
15641
15642
15643
15644
15645
15646
15647
15648
15649
15650
15651
15652
15653
15654
15655
15656
15657
15658
15659
15660
15661
15662
15663
15664
15665
15666
15667
15668
15669
15670
15671
15672
15673
15674
15675
15676
15677
15678
15679
15680
15681
15682
15683
15684
15685
15686
15687
15688
15689
15690
15691
15692
15693
15694
15695
15696
15697
15698
15699
15700
15701
15702
15703
15704
15705
15706
15707
15708
15709
15710
15711
15712
15713
15714
15715
15716
15717
15718
15719
15720
15721
15722
15723
15724
15725
15726
15727
15728
15729
15730
15731
15732
15733
15734
15735
15736
15737
15738
15739
15740
15741
15742
15743
15744
15745
15746
15747
15748
15749
15750
15751
15752
15753
15754
15755
15756
15757
15758
15759
15760
15761
15762
15763
15764
15765
15766
15767
15768
15769
15770
15771
15772
15773
15774
15775
15776
15777
15778
15779
15780
15781
15782
15783
15784
15785
15786
15787
15788
15789
15790
15791
15792
15793
15794
15795
15796
15797
15798
15799
15800
15801
15802
15803
15804
15805
15806
15807
15808
15809
15810
15811
15812
15813
15814
15815
15816
15817
15818
15819
15820
15821
15822
15823
15824
15825
15826
15827
15828
15829
15830
15831
15832
15833
15834
15835
15836
15837
15838
15839
15840
15841
15842
15843
15844
15845
15846
15847
15848
15849
15850
15851
15852
15853
15854
15855
15856
15857
15858
15859
15860
15861
15862
15863
15864
15865
15866
15867
15868
15869
15870
15871
15872
15873
15874
15875
15876
15877
15878
15879
15880
15881
15882
15883
15884
15885
15886
15887
15888
15889
15890
15891
15892
15893
15894
15895
15896
15897
15898
15899
15900
15901
15902
15903
15904
15905
15906
15907
15908
15909
15910
15911
15912
15913
15914
15915
15916
15917
15918
15919
15920
15921
15922
15923
15924
15925
15926
15927
15928
15929
15930
15931
15932
15933
15934
15935
15936
15937
15938
15939
15940
15941
15942
15943
15944
15945
15946
15947
15948
15949
15950
15951
15952
15953
15954
15955
15956
15957
15958
15959
15960
15961
15962
15963
15964
15965
15966
15967
15968
15969
15970
15971
15972
15973
15974
15975
15976
15977
15978
15979
15980
15981
15982
15983
15984
15985
15986
15987
15988
15989
15990
15991
15992
15993
15994
15995
15996
15997
15998
15999
16000
16001
16002
16003
16004
16005
16006
16007
16008
16009
16010
16011
16012
16013
16014
16015
16016
16017
16018
16019
16020
16021
16022
16023
16024
16025
16026
16027
16028
16029
16030
16031
16032
16033
16034
16035
16036
16037
16038
16039
16040
16041
16042
16043
16044
16045
16046
16047
16048
16049
16050
16051
16052
16053
16054
16055
16056
16057
16058
16059
16060
16061
16062
16063
16064
16065
16066
16067
16068
16069
16070
16071
16072
16073
16074
16075
16076
16077
16078
16079
16080
16081
16082
16083
16084
16085
16086
16087
16088
16089
16090
16091
16092
16093
16094
16095
16096
16097
16098
16099
16100
16101
16102
16103
16104
16105
16106
16107
16108
16109
16110
16111
16112
16113
16114
16115
16116
16117
16118
16119
16120
16121
16122
16123
16124
16125
16126
16127
16128
16129
16130
16131
16132
16133
16134
16135
16136
16137
16138
16139
16140
16141
16142
16143
16144
16145
16146
16147
16148
16149
16150
16151
16152
16153
16154
16155
16156
16157
16158
16159
16160
16161
16162
16163
16164
16165
16166
16167
16168
16169
16170
16171
16172
16173
16174
16175
16176
16177
16178
16179
16180
16181
16182
16183
16184
16185
16186
16187
16188
16189
16190
16191
16192
16193
16194
16195
16196
16197
16198
16199
16200
16201
16202
16203
16204
16205
16206
16207
16208
16209
16210
16211
16212
16213
16214
16215
16216
16217
16218
16219
16220
16221
16222
16223
16224
16225
16226
16227
16228
16229
16230
16231
16232
16233
16234
16235
16236
16237
16238
16239
16240
16241
16242
16243
16244
16245
16246
16247
16248
16249
16250
16251
16252
16253
16254
16255
16256
16257
16258
16259
16260
16261
16262
16263
16264
16265
16266
16267
16268
16269
16270
16271
16272
16273
16274
16275
16276
16277
16278
16279
16280
16281
16282
16283
16284
16285
16286
16287
16288
16289
16290
16291
16292
16293
16294
16295
16296
16297
16298
16299
16300
16301
16302
16303
16304
16305
16306
16307
16308
16309
16310
16311
16312
16313
16314
16315
16316
16317
16318
16319
16320
16321
16322
16323
16324
16325
16326
16327
16328
16329
16330
16331
16332
16333
16334
16335
16336
16337
16338
16339
16340
16341
16342
16343
16344
16345
16346
16347
16348
16349
16350
16351
16352
16353
16354
16355
16356
16357
16358
16359
16360
16361
16362
16363
16364
16365
16366
16367
16368
16369
16370
16371
16372
16373
16374
16375
16376
16377
16378
16379
16380
16381
16382
16383
16384
16385
16386
16387
16388
16389
16390
16391
16392
16393
16394
16395
16396
16397
16398
16399
16400
16401
16402
16403
16404
16405
16406
16407
16408
16409
16410
16411
16412
16413
16414
16415
16416
16417
16418
16419
16420
16421
16422
16423
16424
16425
16426
16427
16428
16429
16430
16431
16432
16433
16434
16435
16436
16437
16438
16439
16440
16441
16442
16443
16444
16445
16446
16447
16448
16449
16450
16451
16452
16453
16454
16455
16456
16457
16458
16459
16460
16461
16462
16463
16464
16465
16466
16467
16468
16469
16470
16471
16472
16473
16474
16475
16476
16477
16478
16479
16480
16481
16482
16483
16484
16485
16486
16487
16488
16489
16490
16491
16492
16493
16494
16495
16496
16497
16498
16499
16500
16501
16502
16503
16504
16505
16506
16507
16508
16509
16510
16511
16512
16513
16514
16515
16516
16517
16518
16519
16520
16521
16522
16523
16524
16525
16526
16527
16528
16529
16530
16531
16532
16533
16534
16535
16536
16537
16538
16539
16540
16541
16542
16543
16544
16545
16546
16547
16548
16549
16550
16551
16552
16553
16554
16555
16556
16557
16558
16559
16560
16561
16562
16563
16564
16565
16566
16567
16568
16569
16570
16571
16572
16573
16574
16575
16576
16577
16578
16579
16580
16581
16582
16583
16584
16585
16586
16587
16588
16589
16590
16591
16592
16593
16594
16595
16596
16597
16598
16599
16600
16601
16602
16603
16604
16605
16606
16607
16608
16609
16610
16611
16612
16613
16614
16615
16616
16617
16618
16619
16620
16621
16622
16623
16624
16625
16626
16627
16628
16629
16630
16631
16632
16633
16634
16635
16636
16637
16638
16639
16640
16641
16642
16643
16644
16645
16646
16647
16648
16649
16650
16651
16652
16653
16654
16655
16656
16657
16658
16659
16660
16661
16662
16663
16664
16665
16666
16667
16668
16669
16670
16671
16672
16673
16674
16675
16676
16677
16678
16679
16680
16681
16682
16683
16684
16685
16686
16687
16688
16689
16690
16691
16692
16693
16694
16695
16696
16697
16698
16699
16700
16701
16702
16703
16704
16705
16706
16707
16708
16709
16710
16711
16712
16713
16714
16715
16716
16717
16718
16719
16720
16721
16722
16723
16724
16725
16726
16727
16728
16729
16730
16731
16732
16733
16734
16735
16736
16737
16738
16739
16740
16741
16742
16743
16744
16745
16746
16747
16748
16749
16750
16751
16752
16753
16754
16755
16756
16757
16758
16759
16760
16761
16762
16763
16764
16765
16766
16767
16768
16769
16770
16771
16772
16773
16774
16775
16776
16777
16778
16779
16780
16781
16782
16783
16784
16785
16786
16787
16788
16789
16790
16791
16792
16793
16794
16795
16796
16797
16798
16799
16800
16801
16802
16803
16804
16805
16806
16807
16808
16809
16810
16811
16812
16813
16814
16815
16816
16817
16818
16819
16820
16821
16822
16823
16824
16825
16826
16827
16828
16829
16830
16831
16832
16833
16834
16835
16836
16837
16838
16839
16840
16841
16842
16843
16844
16845
16846
16847
16848
16849
16850
16851
16852
16853
16854
16855
16856
16857
16858
16859
16860
16861
16862
16863
16864
16865
16866
16867
16868
16869
16870
16871
16872
16873
16874
16875
16876
16877
16878
16879
16880
16881
16882
16883
16884
16885
16886
16887
16888
16889
16890
16891
16892
16893
16894
16895
16896
16897
16898
16899
16900
16901
16902
16903
16904
16905
16906
16907
16908
16909
16910
16911
16912
16913
16914
16915
16916
16917
16918
16919
16920
16921
16922
16923
16924
16925
16926
16927
16928
16929
16930
16931
16932
16933
16934
16935
16936
16937
16938
16939
16940
16941
16942
16943
16944
16945
16946
16947
16948
16949
16950
16951
16952
16953
16954
16955
16956
16957
16958
16959
16960
16961
16962
16963
16964
16965
16966
16967
16968
16969
16970
16971
16972
16973
16974
16975
16976
16977
16978
16979
16980
16981
16982
16983
16984
16985
16986
16987
16988
16989
16990
16991
16992
16993
16994
16995
16996
16997
16998
16999
17000
17001
17002
17003
17004
17005
17006
17007
17008
17009
17010
17011
17012
17013
17014
17015
17016
17017
17018
17019
17020
17021
17022
17023
17024
17025
17026
17027
17028
17029
17030
17031
17032
17033
17034
17035
17036
17037
17038
17039
17040
17041
17042
17043
17044
17045
17046
17047
17048
17049
17050
17051
17052
17053
17054
17055
17056
17057
17058
17059
17060
17061
17062
17063
17064
17065
17066
17067
17068
17069
17070
17071
17072
17073
17074
17075
17076
17077
17078
17079
17080
17081
17082
17083
17084
17085
17086
17087
17088
17089
17090
17091
17092
17093
17094
17095
17096
17097
17098
17099
17100
17101
17102
17103
17104
17105
17106
17107
17108
17109
17110
17111
17112
17113
17114
17115
17116
17117
17118
17119
17120
17121
17122
17123
17124
17125
17126
17127
17128
17129
17130
17131
17132
17133
17134
17135
17136
17137
17138
17139
17140
17141
17142
17143
17144
17145
17146
17147
17148
17149
17150
17151
17152
17153
17154
17155
17156
17157
17158
17159
17160
17161
17162
17163
17164
17165
17166
17167
17168
17169
17170
17171
17172
17173
17174
17175
17176
17177
17178
17179
17180
17181
17182
17183
17184
17185
17186
17187
17188
17189
17190
17191
17192
17193
17194
17195
17196
17197
17198
17199
17200
17201
17202
17203
17204
17205
17206
17207
17208
17209
17210
17211
17212
17213
17214
17215
17216
17217
17218
17219
17220
17221
17222
17223
17224
17225
17226
17227
17228
17229
17230
17231
17232
17233
17234
17235
17236
17237
17238
17239
17240
17241
17242
17243
17244
17245
17246
17247
17248
17249
17250
17251
17252
17253
17254
17255
17256
17257
17258
17259
17260
17261
17262
17263
17264
17265
17266
17267
17268
17269
17270
17271
17272
17273
17274
17275
17276
17277
17278
17279
17280
17281
17282
17283
17284
17285
17286
17287
17288
17289
17290
17291
17292
17293
17294
17295
17296
17297
17298
17299
17300
17301
17302
17303
17304
17305
17306
17307
17308
17309
17310
17311
17312
17313
17314
17315
17316
17317
17318
17319
17320
17321
17322
17323
17324
17325
17326
17327
17328
17329
17330
17331
17332
17333
17334
17335
17336
17337
17338
17339
17340
17341
17342
17343
17344
17345
17346
17347
17348
17349
17350
17351
17352
17353
17354
17355
17356
17357
17358
17359
17360
17361
17362
17363
17364
17365
17366
17367
17368
17369
17370
17371
17372
17373
17374
17375
17376
17377
17378
17379
17380
17381
17382
17383
17384
17385
17386
17387
17388
17389
17390
17391
17392
17393
17394
17395
17396
17397
17398
17399
17400
17401
17402
17403
17404
17405
17406
17407
17408
17409
17410
17411
17412
17413
17414
17415
17416
17417
17418
17419
17420
17421
17422
17423
17424
17425
17426
17427
17428
17429
17430
17431
17432
17433
17434
17435
17436
17437
17438
17439
17440
17441
17442
17443
17444
17445
17446
17447
17448
17449
17450
17451
17452
17453
17454
17455
17456
17457
17458
17459
17460
17461
17462
17463
17464
17465
17466
17467
17468
17469
17470
17471
17472
17473
17474
17475
17476
17477
17478
17479
17480
17481
17482
17483
17484
17485
17486
17487
17488
17489
17490
17491
17492
17493
17494
17495
17496
17497
17498
17499
17500
17501
17502
17503
17504
17505
17506
17507
17508
17509
17510
17511
17512
17513
17514
17515
17516
17517
17518
17519
17520
17521
17522
17523
17524
17525
17526
17527
17528
17529
17530
17531
17532
17533
17534
17535
17536
17537
17538
17539
17540
17541
17542
17543
17544
17545
17546
17547
17548
17549
17550
17551
17552
17553
17554
17555
17556
17557
17558
17559
17560
17561
17562
17563
17564
17565
17566
17567
17568
17569
17570
17571
17572
17573
17574
17575
17576
17577
17578
17579
17580
17581
17582
17583
17584
17585
17586
17587
17588
17589
17590
17591
17592
17593
17594
17595
17596
17597
17598
17599
17600
17601
17602
17603
17604
17605
17606
17607
17608
17609
17610
17611
17612
17613
17614
17615
17616
17617
17618
17619
17620
17621
17622
17623
17624
17625
17626
17627
17628
17629
17630
17631
17632
17633
17634
17635
17636
17637
17638
17639
17640
17641
17642
17643
17644
17645
17646
17647
17648
17649
17650
17651
17652
17653
17654
17655
17656
17657
17658
17659
17660
17661
17662
17663
17664
17665
17666
17667
17668
17669
17670
17671
17672
17673
17674
17675
17676
17677
17678
17679
17680
17681
17682
17683
17684
17685
17686
17687
17688
17689
17690
17691
17692
17693
17694
17695
17696
17697
17698
17699
17700
17701
17702
17703
17704
17705
17706
17707
17708
17709
17710
17711
17712
17713
17714
17715
17716
17717
17718
17719
17720
17721
17722
17723
17724
17725
17726
17727
17728
17729
17730
17731
17732
17733
17734
17735
17736
17737
17738
17739
17740
17741
17742
17743
17744
17745
17746
17747
17748
17749
17750
17751
17752
17753
17754
17755
17756
17757
17758
17759
17760
17761
17762
17763
17764
17765
17766
17767
17768
17769
17770
17771
17772
17773
17774
17775
17776
17777
17778
17779
17780
17781
17782
17783
17784
17785
17786
17787
17788
17789
17790
17791
17792
17793
17794
17795
17796
17797
17798
17799
17800
17801
17802
17803
17804
17805
17806
17807
17808
17809
17810
17811
17812
17813
17814
17815
17816
17817
17818
17819
17820
17821
17822
17823
17824
17825
17826
17827
17828
17829
17830
17831
17832
17833
17834
17835
17836
17837
17838
17839
17840
17841
17842
17843
17844
17845
17846
17847
17848
17849
17850
17851
17852
17853
17854
17855
17856
17857
17858
17859
17860
17861
17862
17863
17864
17865
17866
17867
17868
17869
17870
17871
17872
17873
17874
17875
17876
17877
17878
17879
17880
17881
17882
17883
17884
17885
17886
17887
17888
17889
17890
17891
17892
17893
17894
17895
17896
17897
17898
17899
17900
17901
17902
17903
17904
17905
17906
17907
17908
17909
17910
17911
17912
17913
17914
17915
17916
17917
17918
17919
17920
17921
17922
17923
17924
17925
17926
17927
17928
17929
17930
17931
17932
17933
17934
17935
17936
17937
17938
17939
17940
17941
17942
17943
17944
17945
17946
17947
17948
17949
17950
17951
17952
17953
17954
17955
17956
17957
17958
17959
17960
17961
17962
17963
17964
17965
17966
17967
17968
17969
17970
17971
17972
17973
17974
17975
17976
17977
17978
17979
17980
17981
17982
17983
17984
17985
17986
17987
17988
17989
17990
17991
17992
17993
17994
17995
17996
17997
17998
17999
18000
18001
18002
18003
18004
18005
18006
18007
18008
18009
18010
18011
18012
18013
18014
18015
18016
18017
18018
18019
18020
18021
18022
18023
18024
18025
18026
18027
18028
18029
18030
18031
18032
18033
18034
18035
18036
18037
18038
18039
18040
18041
18042
18043
18044
18045
18046
18047
18048
18049
18050
18051
18052
18053
18054
18055
18056
18057
18058
18059
18060
18061
18062
18063
18064
18065
18066
18067
18068
18069
18070
18071
18072
18073
18074
18075
18076
18077
18078
18079
18080
18081
18082
18083
18084
18085
18086
18087
18088
18089
18090
18091
18092
18093
18094
18095
18096
18097
18098
18099
18100
18101
18102
18103
18104
18105
18106
18107
18108
18109
18110
18111
18112
18113
18114
18115
18116
18117
18118
18119
18120
18121
18122
18123
18124
18125
18126
18127
18128
18129
18130
18131
18132
18133
18134
18135
18136
18137
18138
18139
18140
18141
18142
18143
18144
18145
18146
18147
18148
18149
18150
18151
18152
18153
18154
18155
18156
18157
18158
18159
18160
18161
18162
18163
18164
18165
18166
18167
18168
18169
18170
18171
18172
18173
18174
18175
18176
18177
18178
18179
18180
18181
18182
18183
18184
18185
18186
18187
18188
18189
18190
18191
18192
18193
18194
18195
18196
18197
18198
18199
18200
18201
18202
18203
18204
18205
18206
18207
18208
18209
18210
18211
18212
18213
18214
18215
18216
18217
18218
18219
18220
18221
18222
18223
18224
18225
18226
18227
18228
18229
18230
18231
18232
18233
18234
18235
18236
18237
18238
18239
18240
18241
18242
18243
18244
18245
18246
18247
18248
18249
18250
18251
18252
18253
18254
18255
18256
18257
18258
18259
18260
18261
18262
18263
18264
18265
18266
18267
18268
18269
18270
18271
18272
18273
18274
18275
18276
18277
18278
18279
18280
18281
18282
18283
18284
18285
18286
18287
18288
18289
18290
18291
18292
18293
18294
18295
18296
18297
18298
18299
18300
18301
18302
18303
18304
18305
18306
18307
18308
18309
18310
18311
18312
18313
18314
18315
18316
18317
18318
18319
18320
18321
18322
18323
18324
18325
18326
18327
18328
18329
18330
18331
18332
18333
18334
18335
18336
18337
18338
18339
18340
18341
18342
18343
18344
18345
18346
18347
18348
18349
18350
18351
18352
18353
18354
18355
18356
18357
18358
18359
18360
18361
18362
18363
18364
18365
18366
18367
18368
18369
18370
18371
18372
18373
18374
18375
18376
18377
18378
18379
18380
18381
18382
18383
18384
18385
18386
18387
18388
18389
18390
18391
18392
18393
18394
18395
18396
18397
18398
18399
18400
18401
18402
18403
18404
18405
18406
18407
18408
18409
18410
18411
18412
18413
18414
18415
18416
18417
18418
18419
18420
18421
18422
18423
18424
18425
18426
18427
18428
18429
18430
18431
18432
18433
18434
18435
18436
18437
18438
18439
18440
18441
18442
18443
18444
18445
18446
18447
18448
18449
18450
18451
18452
18453
18454
18455
18456
18457
18458
18459
18460
18461
18462
18463
18464
18465
18466
18467
18468
18469
18470
18471
18472
18473
18474
18475
18476
18477
18478
18479
18480
18481
18482
18483
18484
18485
18486
18487
18488
18489
18490
18491
18492
18493
18494
18495
18496
18497
18498
18499
18500
18501
18502
18503
18504
18505
18506
18507
18508
18509
18510
18511
18512
18513
18514
18515
18516
18517
18518
18519
18520
18521
18522
18523
18524
18525
18526
18527
18528
18529
18530
18531
18532
18533
18534
18535
18536
18537
18538
18539
18540
18541
18542
18543
18544
18545
18546
18547
18548
18549
18550
18551
18552
18553
18554
18555
18556
18557
18558
18559
18560
18561
18562
18563
18564
18565
18566
18567
18568
18569
18570
18571
18572
18573
18574
18575
18576
18577
18578
18579
18580
18581
18582
18583
18584
18585
18586
18587
18588
18589
18590
18591
18592
18593
18594
18595
18596
18597
18598
18599
18600
18601
18602
18603
18604
18605
18606
18607
18608
18609
18610
18611
18612
18613
18614
18615
18616
18617
18618
18619
18620
18621
18622
18623
18624
18625
18626
18627
18628
18629
18630
18631
18632
18633
18634
18635
18636
18637
18638
18639
18640
18641
18642
18643
18644
18645
18646
18647
18648
18649
18650
18651
18652
18653
18654
18655
18656
18657
18658
18659
18660
18661
18662
18663
18664
18665
18666
18667
18668
18669
18670
18671
18672
18673
18674
18675
18676
18677
18678
18679
18680
18681
18682
18683
18684
18685
18686
18687
18688
18689
18690
18691
18692
18693
18694
18695
18696
18697
18698
18699
18700
18701
18702
18703
18704
18705
18706
18707
18708
18709
18710
18711
18712
18713
18714
18715
18716
18717
18718
18719
18720
18721
18722
18723
18724
18725
18726
18727
18728
18729
18730
18731
18732
18733
18734
18735
18736
18737
18738
18739
18740
18741
18742
18743
18744
18745
18746
18747
18748
18749
18750
18751
18752
18753
18754
18755
18756
18757
18758
18759
18760
18761
18762
18763
18764
18765
18766
18767
18768
18769
18770
18771
18772
18773
18774
18775
18776
18777
18778
18779
18780
18781
18782
18783
18784
18785
18786
18787
18788
18789
18790
18791
18792
18793
18794
18795
18796
18797
18798
18799
18800
18801
18802
18803
18804
18805
18806
18807
18808
18809
18810
18811
18812
18813
18814
18815
18816
18817
18818
18819
18820
18821
18822
18823
18824
18825
18826
18827
18828
18829
18830
18831
18832
18833
18834
18835
18836
18837
18838
18839
18840
18841
18842
18843
18844
18845
18846
18847
18848
18849
18850
18851
18852
18853
18854
18855
18856
18857
18858
18859
18860
18861
18862
18863
18864
18865
18866
18867
18868
18869
18870
18871
18872
18873
18874
18875
18876
18877
18878
18879
18880
18881
18882
18883
18884
18885
18886
18887
18888
18889
18890
18891
18892
18893
18894
18895
18896
18897
18898
18899
18900
18901
18902
18903
18904
18905
18906
18907
18908
18909
18910
18911
18912
18913
18914
18915
18916
18917
18918
18919
18920
18921
18922
18923
18924
18925
18926
18927
18928
18929
18930
18931
18932
18933
18934
18935
18936
18937
18938
18939
18940
18941
18942
18943
18944
18945
18946
18947
18948
18949
18950
18951
18952
18953
18954
18955
18956
18957
18958
18959
18960
18961
18962
18963
18964
18965
18966
18967
18968
18969
18970
18971
18972
18973
18974
18975
18976
18977
18978
18979
18980
18981
18982
18983
18984
18985
18986
18987
18988
18989
18990
18991
18992
18993
18994
18995
18996
18997
18998
18999
19000
19001
19002
19003
19004
19005
19006
19007
19008
19009
19010
19011
19012
19013
19014
19015
19016
19017
19018
19019
19020
19021
19022
19023
19024
19025
19026
19027
19028
19029
19030
19031
19032
19033
19034
19035
19036
19037
19038
19039
19040
19041
19042
19043
19044
19045
19046
19047
19048
19049
19050
19051
19052
19053
19054
19055
19056
19057
19058
19059
19060
19061
19062
19063
19064
19065
19066
19067
19068
19069
19070
19071
19072
19073
19074
19075
19076
19077
19078
19079
19080
19081
19082
19083
19084
19085
19086
19087
19088
19089
19090
19091
19092
19093
19094
19095
19096
19097
19098
19099
19100
19101
19102
19103
19104
19105
19106
19107
19108
19109
19110
19111
19112
19113
19114
19115
19116
19117
19118
19119
19120
19121
19122
19123
19124
19125
19126
19127
19128
19129
19130
19131
19132
19133
19134
19135
19136
19137
19138
19139
19140
19141
19142
19143
19144
19145
19146
19147
19148
19149
19150
19151
19152
19153
19154
19155
19156
19157
19158
19159
19160
19161
19162
19163
19164
19165
19166
19167
19168
19169
19170
19171
19172
19173
19174
19175
19176
19177
19178
19179
19180
19181
19182
19183
19184
19185
19186
19187
19188
19189
19190
19191
19192
19193
19194
19195
19196
19197
19198
19199
19200
19201
19202
19203
19204
19205
19206
19207
19208
19209
19210
19211
19212
19213
19214
19215
19216
19217
19218
19219
19220
19221
19222
19223
19224
19225
19226
19227
19228
19229
19230
19231
19232
19233
19234
19235
19236
19237
19238
19239
19240
19241
19242
19243
19244
19245
19246
19247
19248
19249
19250
19251
19252
19253
19254
19255
19256
19257
19258
19259
19260
19261
19262
19263
19264
19265
19266
19267
19268
19269
19270
19271
19272
19273
19274
19275
19276
19277
19278
19279
19280
19281
19282
19283
19284
19285
19286
19287
19288
19289
19290
19291
19292
19293
19294
19295
19296
19297
19298
19299
19300
19301
19302
19303
19304
19305
19306
19307
19308
19309
19310
19311
19312
19313
19314
19315
19316
19317
19318
19319
19320
19321
19322
19323
19324
19325
19326
19327
19328
19329
19330
19331
19332
19333
19334
19335
19336
19337
19338
19339
19340
19341
19342
19343
19344
19345
19346
19347
19348
19349
19350
19351
19352
19353
19354
19355
19356
19357
19358
19359
19360
19361
19362
19363
19364
19365
19366
19367
19368
19369
19370
19371
19372
19373
19374
19375
19376
19377
19378
19379
19380
19381
19382
19383
19384
19385
19386
19387
19388
19389
19390
19391
19392
19393
19394
19395
19396
19397
19398
19399
19400
19401
19402
19403
19404
19405
19406
19407
19408
19409
19410
19411
19412
19413
19414
19415
19416
19417
19418
19419
19420
19421
19422
19423
19424
19425
19426
19427
19428
19429
19430
19431
19432
19433
19434
19435
19436
19437
19438
19439
19440
19441
19442
19443
19444
19445
19446
19447
19448
19449
19450
19451
19452
19453
19454
19455
19456
19457
19458
19459
19460
19461
19462
19463
19464
19465
19466
19467
19468
19469
19470
19471
19472
19473
19474
19475
19476
19477
19478
19479
19480
19481
19482
19483
19484
19485
19486
19487
19488
19489
19490
19491
19492
19493
19494
19495
19496
19497
19498
19499
19500
19501
19502
19503
19504
19505
19506
19507
19508
19509
19510
19511
19512
19513
19514
19515
19516
19517
19518
19519
19520
19521
19522
19523
19524
19525
19526
19527
19528
19529
19530
19531
19532
19533
19534
19535
19536
19537
19538
19539
19540
19541
19542
19543
19544
19545
19546
19547
19548
19549
19550
19551
19552
19553
19554
19555
19556
19557
19558
19559
19560
19561
19562
19563
19564
19565
19566
19567
19568
19569
19570
19571
19572
19573
19574
19575
19576
19577
19578
19579
19580
19581
19582
19583
19584
19585
19586
19587
19588
19589
19590
19591
19592
19593
19594
19595
19596
19597
19598
19599
19600
19601
19602
19603
19604
19605
19606
19607
19608
19609
19610
19611
19612
19613
19614
19615
19616
19617
19618
19619
19620
19621
19622
19623
19624
19625
19626
19627
19628
19629
19630
19631
19632
19633
19634
19635
19636
19637
19638
19639
19640
19641
19642
19643
19644
19645
19646
19647
19648
19649
19650
19651
19652
19653
19654
19655
19656
19657
19658
19659
19660
19661
19662
19663
19664
19665
19666
19667
19668
19669
19670
19671
19672
19673
19674
19675
19676
19677
19678
19679
19680
19681
19682
19683
19684
19685
19686
19687
19688
19689
19690
19691
19692
19693
19694
19695
19696
19697
19698
19699
19700
19701
19702
19703
19704
19705
19706
19707
19708
19709
19710
19711
19712
19713
19714
19715
19716
19717
19718
19719
19720
19721
19722
19723
19724
19725
19726
19727
19728
19729
19730
19731
19732
19733
19734
19735
19736
19737
19738
19739
19740
19741
19742
19743
19744
19745
19746
19747
19748
19749
19750
19751
19752
19753
19754
19755
19756
19757
19758
19759
19760
19761
19762
19763
19764
19765
19766
19767
19768
19769
19770
19771
19772
19773
19774
19775
19776
19777
19778
19779
19780
19781
19782
19783
19784
19785
19786
19787
19788
19789
19790
19791
19792
19793
19794
19795
19796
19797
19798
19799
19800
19801
19802
19803
19804
19805
19806
19807
19808
19809
19810
19811
19812
19813
19814
19815
19816
19817
19818
19819
19820
19821
19822
19823
19824
19825
19826
19827
19828
19829
19830
19831
19832
19833
19834
19835
19836
19837
19838
19839
19840
19841
19842
19843
19844
19845
19846
19847
19848
19849
19850
19851
19852
19853
19854
19855
19856
19857
19858
19859
19860
19861
19862
19863
19864
19865
19866
19867
19868
19869
19870
19871
19872
19873
19874
19875
19876
19877
19878
19879
19880
19881
19882
19883
19884
19885
19886
19887
19888
19889
19890
19891
19892
19893
19894
19895
19896
19897
19898
19899
19900
19901
19902
19903
19904
19905
19906
19907
19908
19909
19910
19911
19912
19913
19914
19915
19916
19917
19918
19919
19920
19921
19922
19923
19924
19925
19926
19927
19928
19929
19930
19931
19932
19933
19934
19935
19936
19937
19938
19939
19940
19941
19942
19943
19944
19945
19946
19947
19948
19949
19950
19951
19952
19953
19954
19955
19956
19957
19958
19959
19960
19961
19962
19963
19964
19965
19966
19967
19968
19969
19970
19971
19972
19973
19974
19975
19976
19977
19978
19979
19980
19981
19982
19983
19984
19985
19986
19987
19988
19989
19990
19991
19992
19993
19994
19995
19996
19997
19998
19999
20000
20001
20002
20003
20004
20005
20006
20007
20008
20009
20010
20011
20012
20013
20014
20015
20016
20017
20018
20019
20020
20021
20022
20023
20024
20025
20026
20027
20028
20029
20030
20031
20032
20033
20034
20035
20036
20037
20038
20039
20040
20041
20042
20043
20044
20045
20046
20047
20048
20049
20050
20051
20052
20053
20054
20055
20056
20057
20058
20059
20060
20061
20062
20063
20064
20065
20066
20067
20068
20069
20070
20071
20072
20073
20074
20075
20076
20077
20078
20079
20080
20081
20082
20083
20084
20085
20086
20087
20088
20089
20090
20091
20092
20093
20094
20095
20096
20097
20098
20099
20100
20101
20102
20103
20104
20105
20106
20107
20108
20109
20110
20111
20112
20113
20114
20115
20116
20117
20118
20119
20120
20121
20122
20123
20124
20125
20126
20127
20128
20129
20130
20131
20132
20133
20134
20135
20136
20137
20138
20139
20140
20141
20142
20143
20144
20145
20146
20147
20148
20149
20150
20151
20152
20153
20154
20155
20156
20157
20158
20159
20160
20161
20162
20163
20164
20165
20166
20167
20168
20169
20170
20171
20172
20173
20174
20175
20176
20177
20178
20179
20180
20181
20182
20183
20184
20185
20186
20187
20188
20189
20190
20191
20192
20193
20194
20195
20196
20197
20198
20199
20200
20201
20202
20203
20204
20205
20206
20207
20208
20209
20210
20211
20212
20213
20214
20215
20216
20217
20218
20219
20220
20221
20222
20223
20224
20225
20226
20227
20228
20229
20230
20231
20232
20233
20234
20235
20236
20237
20238
20239
20240
20241
20242
20243
20244
20245
20246
20247
20248
20249
20250
20251
20252
20253
20254
20255
20256
20257
20258
20259
20260
20261
20262
20263
20264
20265
20266
20267
20268
20269
20270
20271
20272
20273
20274
20275
20276
20277
20278
20279
20280
20281
20282
20283
20284
20285
20286
20287
20288
20289
20290
20291
20292
20293
20294
20295
20296
20297
20298
20299
20300
20301
20302
20303
20304
20305
20306
20307
20308
20309
20310
20311
20312
20313
20314
20315
20316
20317
20318
20319
20320
20321
20322
20323
20324
20325
20326
20327
20328
20329
20330
20331
20332
20333
20334
20335
20336
20337
20338
20339
20340
20341
20342
20343
20344
20345
20346
20347
20348
20349
20350
20351
20352
20353
20354
20355
20356
20357
20358
20359
20360
20361
20362
20363
20364
20365
20366
20367
20368
20369
20370
20371
20372
20373
20374
20375
20376
20377
20378
20379
20380
20381
20382
20383
20384
20385
20386
20387
20388
20389
20390
20391
20392
20393
20394
20395
20396
20397
20398
20399
20400
20401
20402
20403
20404
20405
20406
20407
20408
20409
20410
20411
20412
20413
20414
20415
20416
20417
20418
20419
20420
20421
20422
20423
20424
20425
20426
20427
20428
20429
20430
20431
20432
20433
20434
20435
20436
20437
20438
20439
20440
20441
20442
20443
20444
20445
20446
20447
20448
20449
20450
20451
20452
20453
20454
20455
20456
20457
20458
20459
20460
20461
20462
20463
20464
20465
20466
20467
20468
20469
20470
20471
20472
20473
20474
20475
20476
20477
20478
20479
20480
20481
20482
20483
20484
20485
20486
20487
20488
20489
20490
20491
20492
20493
20494
20495
20496
20497
20498
20499
20500
20501
20502
20503
20504
20505
20506
20507
20508
20509
20510
20511
20512
20513
20514
20515
20516
20517
20518
20519
20520
20521
20522
20523
20524
20525
20526
20527
20528
20529
20530
20531
20532
20533
20534
20535
20536
20537
20538
20539
20540
20541
20542
20543
20544
20545
20546
20547
20548
20549
20550
20551
20552
20553
20554
20555
20556
20557
20558
20559
20560
20561
20562
20563
20564
20565
20566
20567
20568
20569
20570
20571
20572
20573
20574
20575
20576
20577
20578
20579
20580
20581
20582
20583
20584
20585
20586
20587
20588
20589
20590
20591
20592
20593
20594
20595
20596
20597
20598
20599
20600
20601
20602
20603
20604
20605
20606
20607
20608
20609
20610
20611
20612
20613
20614
20615
20616
20617
20618
20619
20620
20621
20622
20623
20624
20625
20626
20627
20628
20629
20630
20631
20632
20633
20634
20635
20636
20637
20638
20639
20640
20641
20642
20643
20644
20645
20646
20647
20648
20649
20650
20651
20652
20653
20654
20655
20656
20657
20658
20659
20660
20661
20662
20663
20664
20665
20666
20667
20668
20669
20670
20671
20672
20673
20674
20675
20676
20677
20678
20679
20680
20681
20682
20683
20684
20685
20686
20687
20688
20689
20690
20691
20692
20693
20694
20695
20696
20697
20698
20699
20700
20701
20702
20703
20704
20705
20706
20707
20708
20709
20710
20711
20712
20713
20714
20715
20716
20717
20718
20719
20720
20721
20722
20723
20724
20725
20726
20727
20728
20729
20730
20731
20732
20733
20734
20735
20736
20737
20738
20739
20740
20741
20742
20743
20744
20745
20746
20747
20748
20749
20750
20751
20752
20753
20754
20755
20756
20757
20758
20759
20760
20761
20762
20763
20764
20765
20766
20767
20768
20769
20770
20771
20772
20773
20774
20775
20776
20777
20778
20779
20780
20781
20782
20783
20784
20785
20786
20787
20788
20789
20790
20791
20792
20793
20794
20795
20796
20797
20798
20799
20800
20801
20802
20803
20804
20805
20806
20807
20808
20809
20810
20811
20812
20813
20814
20815
20816
20817
20818
20819
20820
20821
20822
20823
20824
20825
20826
20827
20828
20829
20830
20831
20832
20833
20834
20835
20836
20837
20838
20839
20840
20841
20842
20843
20844
20845
20846
20847
20848
20849
20850
20851
20852
20853
20854
20855
20856
20857
20858
20859
20860
20861
20862
20863
20864
20865
20866
20867
20868
20869
20870
20871
20872
20873
20874
20875
20876
20877
20878
20879
20880
20881
20882
20883
20884
20885
20886
20887
20888
20889
20890
20891
20892
20893
20894
20895
20896
20897
20898
20899
20900
20901
20902
20903
20904
20905
20906
20907
20908
20909
20910
20911
20912
20913
20914
20915
20916
20917
20918
20919
20920
20921
20922
20923
20924
20925
20926
20927
20928
20929
20930
20931
20932
20933
20934
20935
20936
20937
20938
20939
20940
20941
20942
20943
20944
20945
20946
20947
20948
20949
20950
20951
20952
20953
20954
20955
20956
20957
20958
20959
20960
20961
20962
20963
20964
20965
20966
20967
20968
20969
20970
20971
20972
20973
20974
20975
20976
20977
20978
20979
20980
20981
20982
20983
20984
20985
20986
20987
20988
20989
20990
20991
20992
20993
20994
20995
20996
20997
20998
20999
21000
21001
21002
21003
21004
21005
21006
21007
21008
21009
21010
21011
21012
21013
21014
21015
21016
21017
21018
21019
21020
21021
21022
21023
21024
21025
21026
21027
21028
21029
21030
21031
21032
21033
21034
21035
21036
21037
21038
21039
21040
21041
21042
21043
21044
21045
21046
21047
21048
21049
21050
21051
21052
21053
21054
21055
21056
21057
21058
21059
21060
21061
21062
21063
21064
21065
21066
21067
21068
21069
21070
21071
21072
21073
21074
21075
21076
21077
21078
21079
21080
21081
21082
21083
21084
21085
21086
21087
21088
21089
21090
21091
21092
21093
21094
21095
21096
21097
21098
21099
21100
21101
21102
21103
21104
21105
21106
21107
21108
21109
21110
21111
21112
21113
21114
21115
21116
21117
21118
21119
21120
21121
21122
21123
21124
21125
21126
21127
21128
21129
21130
21131
21132
21133
21134
21135
21136
21137
21138
21139
21140
21141
21142
21143
21144
21145
21146
21147
21148
21149
21150
21151
21152
21153
21154
21155
21156
21157
21158
21159
21160
21161
21162
21163
21164
21165
21166
21167
21168
21169
21170
21171
21172
21173
21174
21175
21176
21177
21178
21179
21180
21181
21182
21183
21184
21185
21186
21187
21188
21189
21190
21191
21192
21193
21194
21195
21196
21197
21198
21199
21200
21201
21202
21203
21204
21205
21206
21207
21208
21209
21210
21211
21212
21213
21214
21215
21216
21217
21218
21219
21220
21221
21222
21223
21224
21225
21226
21227
21228
21229
21230
21231
21232
21233
21234
21235
21236
21237
21238
21239
21240
21241
21242
21243
21244
21245
21246
21247
21248
21249
21250
21251
21252
21253
21254
21255
21256
21257
21258
21259
21260
21261
21262
21263
21264
21265
21266
21267
21268
21269
21270
21271
21272
21273
21274
21275
21276
21277
21278
21279
21280
21281
21282
21283
21284
21285
21286
21287
21288
21289
21290
21291
21292
21293
21294
21295
21296
21297
21298
21299
21300
21301
21302
21303
21304
21305
21306
21307
21308
21309
21310
21311
21312
21313
21314
21315
21316
21317
21318
21319
21320
21321
21322
21323
21324
21325
21326
21327
21328
21329
21330
21331
21332
21333
21334
21335
21336
21337
21338
21339
21340
21341
21342
21343
21344
21345
21346
21347
21348
21349
21350
21351
21352
21353
21354
21355
21356
21357
21358
21359
21360
21361
21362
21363
21364
21365
21366
21367
21368
21369
21370
21371
21372
21373
21374
21375
21376
21377
21378
21379
21380
21381
21382
21383
21384
21385
21386
21387
21388
21389
21390
21391
21392
21393
21394
21395
21396
21397
21398
21399
21400
21401
21402
21403
21404
21405
21406
21407
21408
21409
21410
21411
21412
21413
21414
21415
21416
21417
21418
21419
21420
21421
21422
21423
21424
21425
21426
21427
21428
21429
21430
21431
21432
21433
21434
21435
21436
21437
21438
21439
21440
21441
21442
21443
21444
21445
21446
21447
21448
21449
21450
21451
21452
21453
21454
21455
21456
21457
21458
21459
21460
21461
21462
21463
21464
21465
21466
21467
21468
21469
21470
21471
21472
21473
21474
21475
21476
21477
21478
21479
21480
21481
21482
21483
21484
21485
21486
21487
21488
21489
21490
21491
21492
21493
21494
21495
21496
21497
21498
21499
21500
21501
21502
21503
21504
21505
21506
21507
21508
21509
21510
21511
21512
21513
21514
21515
21516
21517
21518
21519
21520
21521
21522
21523
21524
21525
21526
21527
21528
21529
21530
21531
21532
21533
21534
21535
21536
21537
21538
21539
21540
21541
21542
21543
21544
21545
21546
21547
21548
21549
21550
21551
21552
21553
21554
21555
21556
21557
21558
21559
21560
21561
21562
21563
21564
21565
21566
21567
21568
21569
21570
21571
21572
21573
21574
21575
21576
21577
21578
21579
21580
21581
21582
21583
21584
21585
21586
21587
21588
21589
21590
21591
21592
21593
21594
21595
21596
21597
21598
21599
21600
21601
21602
21603
21604
21605
21606
21607
21608
21609
21610
21611
21612
21613
21614
21615
21616
21617
21618
21619
21620
21621
21622
21623
21624
21625
21626
21627
21628
21629
21630
21631
21632
21633
21634
21635
21636
21637
21638
21639
21640
21641
21642
21643
21644
21645
21646
21647
21648
21649
21650
21651
21652
21653
21654
21655
21656
21657
21658
21659
21660
21661
21662
21663
21664
21665
21666
21667
21668
21669
21670
21671
21672
21673
21674
21675
21676
21677
21678
21679
21680
21681
21682
21683
21684
21685
21686
21687
21688
21689
21690
21691
21692
21693
21694
21695
21696
21697
21698
21699
21700
21701
21702
21703
21704
21705
21706
21707
21708
21709
21710
21711
21712
21713
21714
21715
21716
21717
21718
21719
21720
21721
21722
21723
21724
21725
21726
21727
21728
21729
21730
21731
21732
21733
21734
21735
21736
21737
21738
21739
21740
21741
21742
21743
21744
21745
21746
21747
21748
21749
21750
21751
21752
21753
21754
21755
21756
21757
21758
21759
21760
21761
21762
21763
21764
21765
21766
21767
21768
21769
21770
21771
21772
21773
21774
21775
21776
21777
21778
21779
21780
21781
21782
21783
21784
21785
21786
21787
21788
21789
21790
21791
21792
21793
21794
21795
21796
21797
21798
21799
21800
21801
21802
21803
21804
21805
21806
21807
21808
21809
21810
21811
21812
21813
21814
21815
21816
21817
21818
21819
21820
21821
21822
21823
21824
21825
21826
21827
21828
21829
21830
21831
21832
21833
21834
21835
21836
21837
21838
21839
21840
21841
21842
21843
21844
21845
21846
21847
21848
21849
21850
21851
21852
21853
21854
21855
21856
21857
21858
21859
21860
21861
21862
21863
21864
21865
21866
21867
21868
21869
21870
21871
21872
21873
21874
21875
21876
21877
21878
21879
21880
21881
21882
21883
21884
21885
21886
21887
21888
21889
21890
21891
21892
21893
21894
21895
21896
21897
21898
21899
21900
21901
21902
21903
21904
21905
21906
21907
21908
21909
21910
21911
21912
21913
21914
21915
21916
21917
21918
21919
21920
21921
21922
21923
21924
21925
21926
21927
21928
21929
21930
21931
21932
21933
21934
21935
21936
21937
21938
21939
21940
21941
21942
21943
21944
21945
21946
21947
21948
21949
21950
21951
21952
21953
21954
21955
21956
21957
21958
21959
21960
21961
21962
21963
21964
21965
21966
21967
21968
21969
21970
21971
21972
21973
21974
21975
21976
21977
21978
21979
21980
21981
21982
21983
21984
21985
21986
21987
21988
21989
21990
21991
21992
21993
21994
21995
21996
21997
21998
21999
22000
22001
22002
22003
22004
22005
22006
22007
22008
22009
22010
22011
22012
22013
22014
22015
22016
22017
22018
22019
22020
22021
22022
22023
22024
22025
22026
22027
22028
22029
22030
22031
22032
22033
22034
22035
22036
22037
22038
22039
22040
22041
22042
22043
22044
22045
22046
22047
22048
22049
22050
22051
22052
22053
22054
22055
22056
22057
22058
22059
22060
22061
22062
22063
22064
22065
22066
22067
22068
22069
22070
22071
22072
22073
22074
22075
22076
22077
22078
22079
22080
22081
22082
22083
22084
22085
22086
22087
22088
22089
22090
22091
22092
22093
22094
22095
22096
22097
22098
22099
22100
22101
22102
22103
22104
22105
22106
22107
22108
22109
22110
22111
22112
22113
22114
22115
22116
22117
22118
22119
22120
22121
22122
22123
22124
22125
22126
22127
22128
22129
22130
22131
22132
22133
22134
22135
22136
22137
22138
22139
22140
22141
22142
22143
22144
22145
22146
22147
22148
22149
22150
22151
22152
22153
22154
22155
22156
22157
22158
22159
22160
22161
22162
22163
22164
22165
22166
22167
22168
22169
22170
22171
22172
22173
22174
22175
22176
22177
22178
22179
22180
22181
22182
22183
22184
22185
22186
22187
22188
22189
22190
22191
22192
22193
22194
22195
22196
22197
22198
22199
22200
22201
22202
22203
22204
22205
22206
22207
22208
22209
22210
22211
22212
22213
22214
22215
22216
22217
22218
22219
22220
22221
22222
22223
22224
22225
22226
22227
22228
22229
22230
22231
22232
22233
22234
22235
22236
22237
22238
22239
22240
22241
22242
22243
22244
22245
22246
22247
22248
22249
22250
22251
22252
22253
22254
22255
22256
22257
22258
22259
22260
22261
22262
22263
22264
22265
22266
22267
22268
22269
22270
22271
22272
22273
22274
22275
22276
22277
22278
22279
22280
22281
22282
22283
22284
22285
22286
22287
22288
22289
22290
22291
22292
22293
22294
22295
22296
22297
22298
22299
22300
22301
22302
22303
22304
22305
22306
22307
22308
22309
22310
22311
22312
22313
22314
22315
22316
22317
22318
22319
22320
22321
22322
22323
22324
22325
22326
22327
22328
22329
22330
22331
22332
22333
22334
22335
22336
22337
22338
22339
22340
22341
22342
22343
22344
22345
22346
22347
22348
22349
22350
22351
22352
22353
22354
22355
22356
22357
22358
22359
22360
22361
22362
22363
22364
22365
22366
22367
22368
22369
22370
22371
22372
22373
22374
22375
22376
22377
22378
22379
22380
22381
22382
22383
22384
22385
22386
22387
22388
22389
22390
22391
22392
22393
22394
22395
22396
22397
22398
22399
22400
22401
22402
22403
22404
22405
22406
22407
22408
22409
22410
22411
22412
22413
22414
22415
22416
22417
22418
22419
22420
22421
22422
22423
22424
22425
22426
22427
22428
22429
22430
22431
22432
22433
22434
22435
22436
22437
22438
22439
22440
22441
22442
22443
22444
22445
22446
22447
22448
22449
22450
22451
22452
22453
22454
22455
22456
22457
22458
22459
22460
22461
22462
22463
22464
22465
22466
22467
22468
22469
22470
22471
22472
22473
22474
22475
22476
22477
22478
22479
22480
22481
22482
22483
22484
22485
22486
22487
22488
22489
22490
22491
22492
22493
22494
22495
22496
22497
22498
22499
22500
22501
22502
22503
22504
22505
22506
22507
22508
22509
22510
22511
22512
22513
22514
22515
22516
22517
22518
22519
22520
22521
22522
22523
22524
22525
22526
22527
22528
22529
22530
22531
22532
22533
22534
22535
22536
22537
22538
22539
22540
22541
22542
22543
22544
22545
22546
22547
22548
22549
22550
22551
22552
22553
22554
22555
22556
22557
22558
22559
22560
22561
22562
22563
22564
22565
22566
22567
22568
22569
22570
22571
22572
22573
22574
22575
22576
22577
22578
22579
22580
22581
22582
22583
22584
22585
22586
22587
22588
22589
22590
22591
22592
22593
22594
22595
22596
22597
22598
22599
22600
22601
22602
22603
22604
22605
22606
22607
22608
22609
22610
22611
22612
22613
22614
22615
22616
22617
22618
22619
22620
22621
22622
22623
22624
22625
22626
22627
22628
22629
22630
22631
22632
22633
22634
22635
22636
22637
22638
22639
22640
22641
22642
22643
22644
22645
22646
22647
22648
22649
22650
22651
22652
22653
22654
22655
22656
22657
22658
22659
22660
22661
22662
22663
22664
22665
22666
22667
22668
22669
22670
22671
22672
22673
22674
22675
22676
22677
22678
22679
22680
22681
22682
22683
22684
22685
22686
22687
22688
22689
22690
22691
22692
22693
22694
22695
22696
22697
22698
22699
22700
22701
22702
22703
22704
22705
22706
22707
22708
22709
22710
22711
22712
22713
22714
22715
22716
22717
22718
22719
22720
22721
22722
22723
22724
22725
22726
22727
22728
22729
22730
22731
22732
22733
22734
22735
22736
22737
22738
22739
22740
22741
22742
22743
22744
22745
22746
22747
22748
22749
22750
22751
22752
22753
22754
22755
22756
22757
22758
22759
22760
22761
22762
22763
22764
22765
22766
22767
22768
22769
22770
22771
22772
22773
22774
22775
22776
22777
22778
22779
22780
22781
22782
22783
22784
22785
22786
22787
22788
22789
22790
22791
22792
22793
22794
22795
22796
22797
22798
22799
22800
22801
22802
22803
22804
22805
22806
22807
22808
22809
22810
22811
22812
22813
22814
22815
22816
22817
22818
22819
22820
22821
22822
22823
22824
22825
22826
22827
22828
22829
22830
22831
22832
22833
22834
22835
22836
22837
22838
22839
22840
22841
22842
22843
22844
22845
22846
22847
22848
22849
22850
22851
22852
22853
22854
22855
22856
22857
22858
22859
22860
22861
22862
22863
22864
22865
22866
22867
22868
22869
22870
22871
22872
22873
22874
22875
22876
22877
22878
22879
22880
22881
22882
22883
22884
22885
22886
22887
22888
22889
22890
22891
22892
22893
22894
22895
22896
22897
22898
22899
22900
22901
22902
22903
22904
22905
22906
22907
22908
22909
22910
22911
22912
22913
22914
22915
22916
22917
22918
22919
22920
22921
22922
22923
22924
22925
22926
22927
22928
22929
22930
22931
22932
22933
22934
22935
22936
22937
22938
22939
22940
22941
22942
22943
22944
22945
22946
22947
22948
22949
22950
22951
22952
22953
22954
22955
22956
22957
22958
22959
22960
22961
22962
22963
22964
22965
22966
22967
22968
22969
22970
22971
22972
22973
22974
22975
22976
22977
22978
22979
22980
22981
22982
22983
22984
22985
22986
22987
22988
22989
22990
22991
22992
22993
22994
22995
22996
22997
22998
22999
23000
23001
23002
23003
23004
23005
23006
23007
23008
23009
23010
23011
23012
23013
23014
23015
23016
23017
23018
23019
23020
23021
23022
23023
23024
23025
23026
23027
23028
23029
23030
23031
23032
23033
23034
23035
23036
23037
23038
23039
23040
23041
23042
23043
23044
23045
23046
23047
23048
23049
23050
23051
23052
23053
23054
23055
23056
23057
23058
23059
23060
23061
23062
23063
23064
23065
23066
23067
23068
23069
23070
23071
23072
23073
23074
23075
23076
23077
23078
23079
23080
23081
23082
23083
23084
23085
23086
23087
23088
23089
23090
23091
23092
23093
23094
23095
23096
23097
23098
23099
23100
23101
23102
23103
23104
23105
23106
23107
23108
23109
23110
23111
23112
23113
23114
23115
23116
23117
23118
23119
23120
23121
23122
23123
23124
23125
23126
23127
23128
23129
23130
23131
23132
23133
23134
23135
23136
23137
23138
23139
23140
23141
23142
23143
23144
23145
23146
23147
23148
23149
23150
23151
23152
23153
23154
23155
23156
23157
23158
23159
23160
23161
23162
23163
23164
23165
23166
23167
23168
23169
23170
23171
23172
23173
23174
23175
23176
23177
23178
23179
23180
23181
23182
23183
23184
23185
23186
23187
23188
23189
23190
23191
23192
23193
23194
23195
23196
23197
23198
23199
23200
23201
23202
23203
23204
23205
23206
23207
23208
23209
23210
23211
23212
23213
23214
23215
23216
23217
23218
23219
23220
23221
23222
23223
23224
23225
23226
23227
23228
23229
23230
23231
23232
23233
23234
23235
23236
23237
23238
23239
23240
23241
23242
23243
23244
23245
23246
23247
23248
23249
23250
23251
23252
23253
23254
23255
23256
23257
23258
23259
23260
23261
23262
23263
23264
23265
23266
23267
23268
23269
23270
23271
23272
23273
23274
23275
23276
23277
23278
23279
23280
23281
23282
23283
23284
23285
23286
23287
23288
23289
23290
23291
23292
23293
23294
23295
23296
23297
23298
23299
23300
23301
23302
23303
23304
23305
23306
23307
23308
23309
23310
23311
23312
23313
23314
23315
23316
23317
23318
23319
23320
23321
23322
23323
23324
23325
23326
23327
23328
23329
23330
23331
23332
23333
23334
23335
23336
23337
23338
23339
23340
23341
23342
23343
23344
23345
23346
23347
23348
23349
23350
23351
23352
23353
23354
23355
23356
23357
23358
23359
23360
23361
23362
23363
23364
23365
23366
23367
23368
23369
23370
23371
23372
23373
23374
23375
23376
23377
23378
23379
23380
23381
23382
23383
23384
23385
23386
23387
23388
23389
23390
23391
23392
23393
23394
23395
23396
23397
23398
23399
23400
23401
23402
23403
23404
23405
23406
23407
23408
23409
23410
23411
23412
23413
23414
23415
23416
23417
23418
23419
23420
23421
23422
23423
23424
23425
23426
23427
23428
23429
23430
23431
23432
23433
23434
23435
23436
23437
23438
23439
23440
23441
23442
23443
23444
23445
23446
23447
23448
23449
23450
23451
23452
23453
23454
23455
23456
23457
23458
23459
23460
23461
23462
23463
23464
23465
23466
23467
23468
23469
23470
23471
23472
23473
23474
23475
23476
23477
23478
23479
23480
23481
23482
23483
23484
23485
23486
23487
23488
23489
23490
23491
23492
23493
23494
23495
23496
23497
23498
23499
23500
23501
23502
23503
23504
23505
23506
23507
23508
23509
23510
23511
23512
23513
23514
23515
23516
23517
23518
23519
23520
23521
23522
23523
23524
23525
23526
23527
23528
23529
23530
23531
23532
23533
23534
23535
23536
23537
23538
23539
23540
23541
23542
23543
23544
23545
23546
23547
23548
23549
23550
23551
23552
23553
23554
23555
23556
23557
23558
23559
23560
23561
23562
23563
23564
23565
23566
23567
23568
23569
23570
23571
23572
23573
23574
23575
23576
23577
23578
23579
23580
23581
23582
23583
23584
23585
23586
23587
23588
23589
23590
23591
23592
23593
23594
23595
23596
23597
23598
23599
23600
23601
23602
23603
23604
23605
23606
23607
23608
23609
23610
23611
23612
23613
23614
23615
23616
23617
23618
23619
23620
23621
23622
23623
23624
23625
23626
23627
23628
23629
23630
23631
23632
23633
23634
23635
23636
23637
23638
23639
23640
23641
23642
23643
23644
23645
23646
23647
23648
23649
23650
23651
23652
23653
23654
23655
23656
23657
23658
23659
23660
23661
23662
23663
23664
23665
23666
23667
23668
23669
23670
23671
23672
23673
23674
23675
23676
23677
23678
23679
23680
23681
23682
23683
23684
23685
23686
23687
23688
23689
23690
23691
23692
23693
23694
23695
23696
23697
23698
23699
23700
23701
23702
23703
23704
23705
23706
23707
23708
23709
23710
23711
23712
23713
23714
23715
23716
23717
23718
23719
23720
23721
23722
23723
23724
23725
23726
23727
23728
23729
23730
23731
23732
23733
23734
23735
23736
23737
23738
23739
23740
23741
23742
23743
23744
23745
23746
23747
23748
23749
23750
23751
23752
23753
23754
23755
23756
23757
23758
23759
23760
23761
23762
23763
23764
23765
23766
23767
23768
23769
23770
23771
23772
23773
23774
23775
23776
23777
23778
23779
23780
23781
23782
23783
23784
23785
23786
23787
23788
23789
23790
23791
23792
23793
23794
23795
23796
23797
23798
23799
23800
23801
23802
23803
23804
23805
23806
23807
23808
23809
23810
23811
23812
23813
23814
23815
23816
23817
23818
23819
23820
23821
23822
23823
23824
23825
23826
23827
23828
23829
23830
23831
23832
23833
23834
23835
23836
23837
23838
23839
23840
23841
23842
23843
23844
23845
23846
23847
23848
23849
23850
23851
23852
23853
23854
23855
23856
23857
23858
23859
23860
23861
23862
23863
23864
23865
23866
23867
23868
23869
23870
23871
23872
23873
23874
23875
23876
23877
23878
23879
23880
23881
23882
23883
23884
23885
23886
23887
23888
23889
23890
23891
23892
23893
23894
23895
23896
23897
23898
23899
23900
23901
23902
23903
23904
23905
23906
23907
23908
23909
23910
23911
23912
23913
23914
23915
23916
23917
23918
23919
23920
23921
23922
23923
23924
23925
23926
23927
23928
23929
23930
23931
23932
23933
23934
23935
23936
23937
23938
23939
23940
23941
23942
23943
23944
23945
23946
23947
23948
23949
23950
23951
23952
23953
23954
23955
23956
23957
23958
23959
23960
23961
23962
23963
23964
23965
23966
23967
23968
23969
23970
23971
23972
23973
23974
23975
23976
23977
23978
23979
23980
23981
23982
23983
23984
23985
23986
23987
23988
23989
23990
23991
23992
23993
23994
23995
23996
23997
23998
23999
24000
24001
24002
24003
24004
24005
24006
24007
24008
24009
24010
24011
24012
24013
24014
24015
24016
24017
24018
24019
24020
24021
24022
24023
24024
24025
24026
24027
24028
24029
24030
24031
24032
24033
24034
24035
24036
24037
24038
24039
24040
24041
24042
24043
24044
24045
24046
24047
24048
24049
24050
24051
24052
24053
24054
24055
24056
24057
24058
24059
24060
24061
24062
24063
24064
24065
24066
24067
24068
24069
24070
24071
24072
24073
24074
24075
24076
24077
24078
24079
24080
24081
24082
24083
24084
24085
24086
24087
24088
24089
24090
24091
24092
24093
24094
24095
24096
24097
24098
24099
24100
24101
24102
24103
24104
24105
24106
24107
24108
24109
24110
24111
24112
24113
24114
24115
24116
24117
24118
24119
24120
24121
24122
24123
24124
24125
24126
24127
24128
24129
24130
24131
24132
24133
24134
24135
24136
24137
24138
24139
24140
24141
24142
24143
24144
24145
24146
24147
24148
24149
24150
24151
24152
24153
24154
24155
24156
24157
24158
24159
24160
24161
24162
24163
24164
24165
24166
24167
24168
24169
24170
24171
24172
24173
24174
24175
24176
24177
24178
24179
24180
24181
24182
24183
24184
24185
24186
24187
24188
24189
24190
24191
24192
24193
24194
24195
24196
24197
24198
24199
24200
24201
24202
24203
24204
24205
24206
24207
24208
24209
24210
24211
24212
24213
24214
24215
24216
24217
24218
24219
24220
24221
24222
24223
24224
24225
24226
24227
24228
24229
24230
24231
24232
24233
24234
24235
24236
24237
24238
24239
24240
24241
24242
24243
24244
24245
24246
24247
24248
24249
24250
24251
24252
24253
24254
24255
24256
24257
24258
24259
24260
24261
24262
24263
24264
24265
24266
24267
24268
24269
24270
24271
24272
24273
24274
24275
24276
24277
24278
24279
24280
24281
24282
24283
24284
24285
24286
24287
24288
24289
24290
24291
24292
24293
24294
24295
24296
24297
24298
24299
24300
24301
24302
24303
24304
24305
24306
24307
24308
24309
24310
24311
24312
24313
24314
24315
24316
24317
24318
24319
24320
24321
24322
24323
24324
24325
24326
24327
24328
24329
24330
24331
24332
24333
24334
24335
24336
24337
24338
24339
24340
24341
24342
24343
24344
24345
24346
24347
24348
24349
24350
24351
24352
24353
24354
24355
24356
24357
24358
24359
24360
24361
24362
24363
24364
24365
24366
24367
24368
24369
24370
24371
24372
24373
24374
24375
24376
24377
24378
24379
24380
24381
24382
24383
24384
24385
24386
24387
24388
24389
24390
24391
24392
24393
24394
24395
24396
24397
24398
24399
24400
24401
24402
24403
24404
24405
24406
24407
24408
24409
24410
24411
24412
24413
24414
24415
24416
24417
24418
24419
24420
24421
24422
24423
24424
24425
24426
24427
24428
24429
24430
24431
24432
24433
24434
24435
24436
24437
24438
24439
24440
24441
24442
24443
24444
24445
24446
24447
24448
24449
24450
24451
24452
24453
24454
24455
24456
24457
24458
24459
24460
24461
24462
24463
24464
24465
24466
24467
24468
24469
24470
24471
24472
24473
24474
24475
24476
24477
24478
24479
24480
24481
24482
24483
24484
24485
24486
24487
24488
24489
24490
24491
24492
24493
24494
24495
24496
24497
24498
24499
24500
24501
24502
24503
24504
24505
24506
24507
24508
24509
24510
24511
24512
24513
24514
24515
24516
24517
24518
24519
24520
24521
24522
24523
24524
24525
24526
24527
24528
24529
24530
24531
24532
24533
24534
24535
24536
24537
24538
24539
24540
24541
24542
24543
24544
24545
24546
24547
24548
24549
24550
24551
24552
24553
24554
24555
24556
24557
24558
24559
24560
24561
24562
24563
24564
24565
24566
24567
24568
24569
24570
24571
24572
24573
24574
24575
24576
24577
24578
24579
24580
24581
24582
24583
24584
24585
24586
24587
24588
24589
24590
24591
24592
24593
24594
24595
24596
24597
24598
24599
24600
24601
24602
24603
24604
24605
24606
24607
24608
24609
24610
24611
24612
24613
24614
24615
24616
24617
24618
24619
24620
24621
24622
24623
24624
24625
24626
24627
24628
24629
24630
24631
24632
24633
24634
24635
24636
24637
24638
24639
24640
24641
24642
24643
24644
24645
24646
24647
24648
24649
24650
24651
24652
24653
24654
24655
24656
24657
24658
24659
24660
24661
24662
24663
24664
24665
24666
24667
24668
24669
24670
24671
24672
24673
24674
24675
24676
24677
24678
24679
24680
24681
24682
24683
24684
24685
24686
24687
24688
24689
24690
24691
24692
24693
24694
24695
24696
24697
24698
24699
24700
24701
24702
24703
24704
24705
24706
24707
24708
24709
24710
24711
24712
24713
24714
24715
24716
24717
24718
24719
24720
24721
24722
24723
24724
24725
24726
24727
24728
24729
24730
24731
24732
24733
24734
24735
24736
24737
24738
24739
24740
24741
24742
24743
24744
24745
24746
24747
24748
24749
24750
24751
24752
24753
24754
24755
24756
24757
24758
24759
24760
24761
24762
24763
24764
24765
24766
24767
24768
24769
24770
24771
24772
24773
24774
24775
24776
24777
24778
24779
24780
24781
24782
24783
24784
24785
24786
24787
24788
24789
24790
24791
24792
24793
24794
24795
24796
24797
24798
24799
24800
24801
24802
24803
24804
24805
24806
24807
24808
24809
24810
24811
24812
24813
24814
24815
24816
24817
24818
24819
24820
24821
24822
24823
24824
24825
24826
24827
24828
24829
24830
24831
24832
24833
24834
24835
24836
24837
24838
24839
24840
24841
24842
24843
24844
24845
24846
24847
24848
24849
24850
24851
24852
24853
24854
24855
24856
24857
24858
24859
24860
24861
24862
24863
24864
24865
24866
24867
24868
24869
24870
24871
24872
24873
24874
24875
24876
24877
24878
24879
24880
24881
24882
24883
24884
24885
24886
24887
24888
24889
24890
24891
24892
24893
24894
24895
24896
24897
24898
24899
24900
24901
24902
24903
24904
24905
24906
24907
24908
24909
24910
24911
24912
24913
24914
24915
24916
24917
24918
24919
24920
24921
24922
24923
24924
24925
24926
24927
24928
24929
24930
24931
24932
24933
24934
24935
24936
24937
24938
24939
24940
24941
24942
24943
24944
24945
24946
24947
24948
24949
24950
24951
24952
24953
24954
24955
24956
24957
24958
24959
24960
24961
24962
24963
24964
24965
24966
24967
24968
24969
24970
24971
24972
24973
24974
24975
24976
24977
24978
24979
24980
24981
24982
24983
24984
24985
24986
24987
24988
24989
24990
24991
24992
24993
24994
24995
24996
24997
24998
24999
25000
25001
25002
25003
25004
25005
25006
25007
25008
25009
25010
25011
25012
25013
25014
25015
25016
25017
25018
25019
25020
25021
25022
25023
25024
25025
25026
25027
25028
25029
25030
25031
25032
25033
25034
25035
25036
25037
25038
25039
25040
25041
25042
25043
25044
25045
25046
25047
25048
25049
25050
25051
25052
25053
25054
25055
25056
25057
25058
25059
25060
25061
25062
25063
25064
25065
25066
25067
25068
25069
25070
25071
25072
25073
25074
25075
25076
25077
25078
25079
25080
25081
25082
25083
25084
25085
25086
25087
25088
25089
25090
25091
25092
25093
25094
25095
25096
25097
25098
25099
25100
25101
25102
25103
25104
25105
25106
25107
25108
25109
25110
25111
25112
25113
25114
25115
25116
25117
25118
25119
25120
25121
25122
25123
25124
25125
25126
25127
25128
25129
25130
25131
25132
25133
25134
25135
25136
25137
25138
25139
25140
25141
25142
25143
25144
25145
25146
25147
25148
25149
25150
25151
25152
25153
25154
25155
25156
25157
25158
25159
25160
25161
25162
25163
25164
25165
25166
25167
25168
25169
25170
25171
25172
25173
25174
25175
25176
25177
25178
25179
25180
25181
25182
25183
25184
25185
25186
25187
25188
25189
25190
25191
25192
25193
25194
25195
25196
25197
25198
25199
25200
25201
25202
25203
25204
25205
25206
25207
25208
25209
25210
25211
25212
25213
25214
25215
25216
25217
25218
25219
25220
25221
25222
25223
25224
25225
25226
25227
25228
25229
25230
25231
25232
25233
25234
25235
25236
25237
25238
25239
25240
25241
25242
25243
25244
25245
25246
25247
25248
25249
25250
25251
25252
25253
25254
25255
25256
25257
25258
25259
25260
25261
25262
25263
25264
25265
25266
25267
25268
25269
25270
25271
25272
25273
25274
25275
25276
25277
25278
25279
25280
25281
25282
25283
25284
25285
25286
25287
25288
25289
25290
25291
25292
25293
25294
25295
25296
25297
25298
25299
25300
25301
25302
25303
25304
25305
25306
25307
25308
25309
25310
25311
25312
25313
25314
25315
25316
25317
25318
25319
25320
25321
25322
25323
25324
25325
25326
25327
25328
25329
25330
25331
25332
25333
25334
25335
25336
25337
25338
25339
25340
25341
25342
25343
25344
25345
25346
25347
25348
25349
25350
25351
25352
25353
25354
25355
25356
25357
25358
25359
25360
25361
25362
25363
25364
25365
25366
25367
25368
25369
25370
25371
25372
25373
25374
25375
25376
25377
25378
25379
25380
25381
25382
25383
25384
25385
25386
25387
25388
25389
25390
25391
25392
25393
25394
25395
25396
25397
25398
25399
25400
25401
25402
25403
25404
25405
25406
25407
25408
25409
25410
25411
25412
25413
25414
25415
25416
25417
25418
25419
25420
25421
25422
25423
25424
25425
25426
25427
25428
25429
25430
25431
25432
25433
25434
25435
25436
25437
25438
25439
25440
25441
25442
25443
25444
25445
25446
25447
25448
25449
25450
25451
25452
25453
25454
25455
25456
25457
25458
25459
25460
25461
25462
25463
25464
25465
25466
25467
25468
25469
25470
25471
25472
25473
25474
25475
25476
25477
25478
25479
25480
25481
25482
25483
25484
25485
25486
25487
25488
25489
25490
25491
25492
25493
25494
25495
25496
25497
25498
25499
25500
25501
25502
25503
25504
25505
25506
25507
25508
25509
25510
25511
25512
25513
25514
25515
25516
25517
25518
25519
25520
25521
25522
25523
25524
25525
25526
25527
25528
25529
25530
25531
25532
25533
25534
25535
25536
25537
25538
25539
25540
25541
25542
25543
25544
25545
25546
25547
25548
25549
25550
25551
25552
25553
25554
25555
25556
25557
25558
25559
25560
25561
25562
25563
25564
25565
25566
25567
25568
25569
25570
25571
25572
25573
25574
25575
25576
25577
25578
25579
25580
25581
25582
25583
25584
25585
25586
25587
25588
25589
25590
25591
25592
25593
25594
25595
25596
25597
25598
25599
25600
25601
25602
25603
25604
25605
25606
25607
25608
25609
25610
25611
25612
25613
25614
25615
25616
25617
25618
25619
25620
25621
25622
25623
25624
25625
25626
25627
25628
25629
25630
25631
25632
25633
25634
25635
25636
25637
25638
25639
25640
25641
25642
25643
25644
25645
25646
25647
25648
25649
25650
25651
25652
25653
25654
25655
25656
25657
25658
25659
25660
25661
25662
25663
25664
25665
25666
25667
25668
25669
25670
25671
25672
25673
25674
25675
25676
25677
25678
25679
25680
25681
25682
25683
25684
25685
25686
25687
25688
25689
25690
25691
25692
25693
25694
25695
25696
25697
25698
25699
25700
25701
25702
25703
25704
25705
25706
25707
25708
25709
25710
25711
25712
25713
25714
25715
25716
25717
25718
25719
25720
25721
25722
25723
25724
25725
25726
25727
25728
25729
25730
25731
25732
25733
25734
25735
25736
25737
25738
25739
25740
25741
25742
25743
25744
25745
25746
25747
25748
25749
25750
25751
25752
25753
25754
25755
25756
25757
25758
25759
25760
25761
25762
25763
25764
25765
25766
25767
25768
25769
25770
25771
25772
25773
25774
25775
25776
25777
25778
25779
25780
25781
25782
25783
25784
25785
25786
25787
25788
25789
25790
25791
25792
25793
25794
25795
25796
25797
25798
25799
25800
25801
25802
25803
25804
25805
25806
25807
25808
25809
25810
25811
25812
25813
25814
25815
25816
25817
25818
25819
25820
25821
25822
25823
25824
25825
25826
25827
25828
25829
25830
25831
25832
25833
25834
25835
25836
25837
25838
25839
25840
25841
25842
25843
25844
25845
25846
25847
25848
25849
25850
25851
25852
25853
25854
25855
25856
25857
25858
25859
25860
25861
25862
25863
25864
25865
25866
25867
25868
25869
25870
25871
25872
25873
25874
25875
25876
25877
25878
25879
25880
25881
25882
25883
25884
25885
25886
25887
25888
25889
25890
25891
25892
25893
25894
25895
25896
25897
25898
25899
25900
25901
25902
25903
25904
25905
25906
25907
25908
25909
25910
25911
25912
25913
25914
25915
25916
25917
25918
25919
25920
25921
25922
25923
25924
25925
25926
25927
25928
25929
25930
25931
25932
25933
25934
25935
25936
25937
25938
25939
25940
25941
25942
25943
25944
25945
25946
25947
25948
25949
25950
25951
25952
25953
25954
25955
25956
25957
25958
25959
25960
25961
25962
25963
25964
25965
25966
25967
25968
25969
25970
25971
25972
25973
25974
25975
25976
25977
25978
25979
25980
25981
25982
25983
25984
25985
25986
25987
25988
25989
25990
25991
25992
25993
25994
25995
25996
25997
25998
25999
26000
26001
26002
26003
26004
26005
26006
26007
26008
26009
26010
26011
26012
26013
26014
26015
26016
26017
26018
26019
26020
26021
26022
26023
26024
26025
26026
26027
26028
26029
26030
26031
26032
26033
26034
26035
26036
26037
26038
26039
26040
26041
26042
26043
26044
26045
26046
26047
26048
26049
26050
26051
26052
26053
26054
26055
26056
26057
26058
26059
26060
26061
26062
26063
26064
26065
26066
26067
26068
26069
26070
26071
26072
26073
26074
26075
26076
26077
26078
26079
26080
26081
26082
26083
26084
26085
26086
26087
26088
26089
26090
26091
26092
26093
26094
26095
26096
26097
26098
26099
26100
26101
26102
26103
26104
26105
26106
26107
26108
26109
26110
26111
26112
26113
26114
26115
26116
26117
26118
26119
26120
26121
26122
26123
26124
26125
26126
26127
26128
26129
26130
26131
26132
26133
26134
26135
26136
26137
26138
26139
26140
26141
26142
26143
26144
26145
26146
26147
26148
26149
26150
26151
26152
26153
26154
26155
26156
26157
26158
26159
26160
26161
26162
26163
26164
26165
26166
26167
26168
26169
26170
26171
26172
26173
26174
26175
26176
26177
26178
26179
26180
26181
26182
26183
26184
26185
26186
26187
26188
26189
26190
26191
26192
26193
26194
26195
26196
26197
26198
26199
26200
26201
26202
26203
26204
26205
26206
26207
26208
26209
26210
26211
26212
26213
26214
26215
26216
26217
26218
26219
26220
26221
26222
26223
26224
26225
26226
26227
26228
26229
26230
26231
26232
26233
26234
26235
26236
26237
26238
26239
26240
26241
26242
26243
26244
26245
26246
26247
26248
26249
26250
26251
26252
26253
26254
26255
26256
26257
26258
26259
26260
26261
26262
26263
26264
26265
26266
26267
26268
26269
26270
26271
26272
26273
26274
26275
26276
26277
26278
26279
26280
26281
26282
26283
26284
26285
26286
26287
26288
26289
26290
26291
26292
26293
26294
26295
26296
26297
26298
26299
26300
26301
26302
26303
26304
26305
26306
26307
26308
26309
26310
26311
26312
26313
26314
26315
26316
26317
26318
26319
26320
26321
26322
26323
26324
26325
26326
26327
26328
26329
26330
26331
26332
26333
26334
26335
26336
26337
26338
26339
26340
26341
26342
26343
26344
26345
26346
26347
26348
26349
26350
26351
26352
26353
26354
26355
26356
26357
26358
26359
26360
26361
26362
26363
26364
26365
26366
26367
26368
26369
26370
26371
26372
26373
26374
26375
26376
26377
26378
26379
26380
26381
26382
26383
26384
26385
26386
26387
26388
26389
26390
26391
26392
26393
26394
26395
26396
26397
26398
26399
26400
26401
26402
26403
26404
26405
26406
26407
26408
26409
26410
26411
26412
26413
26414
26415
26416
26417
26418
26419
26420
26421
26422
26423
26424
26425
26426
26427
26428
26429
26430
26431
26432
26433
26434
26435
26436
26437
26438
26439
26440
26441
26442
26443
26444
26445
26446
26447
26448
26449
26450
26451
26452
26453
26454
26455
26456
26457
26458
26459
26460
26461
26462
26463
26464
26465
26466
26467
26468
26469
26470
26471
26472
26473
26474
26475
26476
26477
26478
26479
26480
26481
26482
26483
26484
26485
26486
26487
26488
26489
26490
26491
26492
26493
26494
26495
26496
26497
26498
26499
26500
26501
26502
26503
26504
26505
26506
26507
26508
26509
26510
26511
26512
26513
26514
26515
26516
26517
26518
26519
26520
26521
26522
26523
26524
26525
26526
26527
26528
26529
26530
26531
26532
26533
26534
26535
26536
26537
26538
26539
26540
26541
26542
26543
26544
26545
26546
26547
26548
26549
26550
26551
26552
26553
26554
26555
26556
26557
26558
26559
26560
26561
26562
26563
26564
26565
26566
26567
26568
26569
26570
26571
26572
26573
26574
26575
26576
26577
26578
26579
26580
26581
26582
26583
26584
26585
26586
26587
26588
26589
26590
26591
26592
26593
26594
26595
26596
26597
26598
26599
26600
26601
26602
26603
26604
26605
26606
26607
26608
26609
26610
26611
26612
26613
26614
26615
26616
26617
26618
26619
26620
26621
26622
26623
26624
26625
26626
26627
26628
26629
26630
26631
26632
26633
26634
26635
26636
26637
26638
26639
26640
26641
26642
26643
26644
26645
26646
26647
26648
26649
26650
26651
26652
26653
26654
26655
26656
26657
26658
26659
26660
26661
26662
26663
26664
26665
26666
26667
26668
26669
26670
26671
26672
26673
26674
26675
26676
26677
26678
26679
26680
26681
26682
26683
26684
26685
26686
26687
26688
26689
26690
26691
26692
26693
26694
26695
26696
26697
26698
26699
26700
26701
26702
26703
26704
26705
26706
26707
26708
26709
26710
26711
26712
26713
26714
26715
26716
26717
26718
26719
26720
26721
26722
26723
26724
26725
26726
26727
26728
26729
26730
26731
26732
26733
26734
26735
26736
26737
26738
26739
26740
26741
26742
26743
26744
26745
26746
26747
26748
26749
26750
26751
26752
26753
26754
26755
26756
26757
26758
26759
26760
26761
26762
26763
26764
26765
26766
26767
26768
26769
26770
26771
26772
26773
26774
26775
26776
26777
26778
26779
26780
26781
26782
26783
26784
26785
26786
26787
26788
26789
26790
26791
26792
26793
26794
26795
26796
26797
26798
26799
26800
26801
26802
26803
26804
26805
26806
26807
26808
26809
26810
26811
26812
26813
26814
26815
26816
26817
26818
26819
26820
26821
26822
26823
26824
26825
26826
26827
26828
26829
26830
26831
26832
26833
26834
26835
26836
26837
26838
26839
26840
26841
26842
26843
26844
26845
26846
26847
26848
26849
26850
26851
26852
26853
26854
26855
26856
26857
26858
26859
26860
26861
26862
26863
26864
26865
26866
26867
26868
26869
26870
26871
26872
26873
26874
26875
26876
26877
26878
26879
26880
26881
26882
26883
26884
26885
26886
26887
26888
26889
26890
26891
26892
26893
26894
26895
26896
26897
26898
26899
26900
26901
26902
26903
26904
26905
26906
26907
26908
26909
26910
26911
26912
26913
26914
26915
26916
26917
26918
26919
26920
26921
26922
26923
26924
26925
26926
26927
26928
26929
26930
26931
26932
26933
26934
26935
26936
26937
26938
26939
26940
26941
26942
26943
26944
26945
26946
26947
26948
26949
26950
26951
26952
26953
26954
26955
26956
26957
26958
26959
26960
26961
26962
26963
26964
26965
26966
26967
26968
26969
26970
26971
26972
26973
26974
26975
26976
26977
26978
26979
26980
26981
26982
26983
26984
26985
26986
26987
26988
26989
26990
26991
26992
26993
26994
26995
26996
26997
26998
26999
27000
27001
27002
27003
27004
27005
27006
27007
27008
27009
27010
27011
27012
27013
27014
27015
27016
27017
27018
27019
27020
27021
27022
27023
27024
27025
27026
27027
27028
27029
27030
27031
27032
27033
27034
27035
27036
27037
27038
27039
27040
27041
27042
27043
27044
27045
27046
27047
27048
27049
27050
27051
27052
27053
27054
27055
27056
27057
27058
27059
27060
27061
27062
27063
27064
27065
27066
27067
27068
27069
27070
27071
27072
27073
27074
27075
27076
27077
27078
27079
27080
27081
27082
27083
27084
27085
27086
27087
27088
27089
27090
27091
27092
27093
27094
27095
27096
27097
27098
27099
27100
27101
27102
27103
27104
27105
27106
27107
27108
27109
27110
27111
27112
27113
27114
27115
27116
27117
27118
27119
27120
27121
27122
27123
27124
27125
27126
27127
27128
27129
27130
27131
27132
27133
27134
27135
27136
27137
27138
27139
27140
27141
27142
27143
27144
27145
27146
27147
27148
27149
27150
27151
27152
27153
27154
27155
27156
27157
27158
27159
27160
27161
27162
27163
27164
27165
27166
27167
27168
27169
27170
27171
27172
27173
27174
27175
27176
27177
27178
27179
27180
27181
27182
27183
27184
27185
27186
27187
27188
27189
27190
27191
27192
27193
27194
27195
27196
27197
27198
27199
27200
27201
27202
27203
27204
27205
27206
27207
27208
27209
27210
27211
27212
27213
27214
27215
27216
27217
27218
27219
27220
27221
27222
27223
27224
27225
27226
27227
27228
27229
27230
27231
27232
27233
27234
27235
27236
27237
27238
27239
27240
27241
27242
27243
27244
27245
27246
27247
27248
27249
27250
27251
27252
27253
27254
27255
27256
27257
27258
27259
27260
27261
27262
27263
27264
27265
27266
27267
27268
27269
27270
27271
27272
27273
27274
27275
27276
27277
27278
27279
27280
27281
27282
27283
27284
27285
27286
27287
27288
27289
27290
27291
27292
27293
27294
27295
27296
27297
27298
27299
27300
27301
27302
27303
27304
27305
27306
27307
27308
27309
27310
27311
27312
27313
27314
27315
27316
27317
27318
27319
27320
27321
27322
27323
27324
27325
27326
27327
27328
27329
27330
27331
27332
27333
27334
27335
27336
27337
27338
27339
27340
27341
27342
27343
27344
27345
27346
27347
27348
27349
27350
27351
27352
27353
27354
27355
27356
27357
27358
27359
27360
27361
27362
27363
27364
27365
27366
27367
27368
27369
27370
27371
27372
27373
27374
27375
27376
27377
27378
27379
27380
27381
27382
27383
27384
27385
27386
27387
27388
27389
27390
27391
27392
27393
27394
27395
27396
27397
27398
27399
27400
27401
27402
27403
27404
27405
27406
27407
27408
27409
27410
27411
27412
27413
27414
27415
27416
27417
27418
27419
27420
27421
27422
27423
27424
27425
27426
27427
27428
27429
27430
27431
27432
27433
27434
27435
27436
27437
27438
27439
27440
27441
27442
27443
27444
27445
27446
27447
27448
27449
27450
27451
27452
27453
27454
27455
27456
27457
27458
27459
27460
27461
27462
27463
27464
27465
27466
27467
27468
27469
27470
27471
27472
27473
27474
27475
27476
27477
27478
27479
27480
27481
27482
27483
27484
27485
27486
27487
27488
27489
27490
27491
27492
27493
27494
27495
27496
27497
27498
27499
27500
27501
27502
27503
27504
27505
27506
27507
27508
27509
27510
27511
27512
27513
27514
27515
27516
27517
27518
27519
27520
27521
27522
27523
27524
27525
27526
27527
27528
27529
27530
27531
27532
27533
27534
27535
27536
27537
27538
27539
27540
27541
27542
27543
27544
27545
27546
27547
27548
27549
27550
27551
27552
27553
27554
27555
27556
27557
27558
27559
27560
27561
27562
27563
27564
27565
27566
27567
27568
27569
27570
27571
27572
27573
27574
27575
27576
27577
27578
27579
27580
27581
27582
27583
27584
27585
27586
27587
27588
27589
27590
27591
27592
27593
27594
27595
27596
27597
27598
27599
27600
27601
27602
27603
27604
27605
27606
27607
27608
27609
27610
27611
27612
27613
27614
27615
27616
27617
27618
27619
27620
27621
27622
27623
27624
27625
27626
27627
27628
27629
27630
27631
27632
27633
27634
27635
27636
27637
27638
27639
27640
27641
27642
27643
27644
27645
27646
27647
27648
27649
27650
27651
27652
27653
27654
27655
27656
27657
27658
27659
27660
27661
27662
27663
27664
27665
27666
27667
27668
27669
27670
27671
27672
27673
27674
27675
27676
27677
27678
27679
27680
27681
27682
27683
27684
27685
27686
27687
27688
27689
27690
27691
27692
27693
27694
27695
27696
27697
27698
27699
27700
27701
27702
27703
27704
27705
27706
27707
27708
27709
27710
27711
27712
27713
27714
27715
27716
27717
27718
27719
27720
27721
27722
27723
27724
27725
27726
27727
27728
27729
27730
27731
27732
27733
27734
27735
27736
27737
27738
27739
27740
27741
27742
27743
27744
27745
27746
27747
27748
27749
27750
27751
27752
27753
27754
27755
27756
27757
27758
27759
27760
27761
27762
27763
27764
27765
27766
27767
27768
27769
27770
27771
27772
27773
27774
27775
27776
27777
27778
27779
27780
27781
27782
27783
27784
27785
27786
27787
27788
27789
27790
27791
27792
27793
27794
27795
27796
27797
27798
27799
27800
27801
27802
27803
27804
27805
27806
27807
27808
27809
27810
27811
27812
27813
27814
27815
27816
27817
27818
27819
27820
27821
27822
27823
27824
27825
27826
27827
27828
27829
27830
27831
27832
27833
27834
27835
27836
27837
27838
27839
27840
27841
27842
27843
27844
27845
27846
27847
27848
27849
27850
27851
27852
27853
27854
27855
27856
27857
27858
27859
27860
27861
27862
27863
27864
27865
27866
27867
27868
27869
27870
27871
27872
27873
27874
27875
27876
27877
27878
27879
27880
27881
27882
27883
27884
27885
27886
27887
27888
27889
27890
27891
27892
27893
27894
27895
27896
27897
27898
27899
27900
27901
27902
27903
27904
27905
27906
27907
27908
27909
27910
27911
27912
27913
27914
27915
27916
27917
27918
27919
27920
27921
27922
27923
27924
27925
27926
27927
27928
27929
27930
27931
27932
27933
27934
27935
27936
27937
27938
27939
27940
27941
27942
27943
27944
27945
27946
27947
27948
27949
27950
27951
27952
27953
27954
27955
27956
27957
27958
27959
27960
27961
27962
27963
27964
27965
27966
27967
27968
27969
27970
27971
27972
27973
27974
27975
27976
27977
27978
27979
27980
27981
27982
27983
27984
27985
27986
27987
27988
27989
27990
27991
27992
27993
27994
27995
27996
27997
27998
27999
28000
28001
28002
28003
28004
28005
28006
28007
28008
28009
28010
28011
28012
28013
28014
28015
28016
28017
28018
28019
28020
28021
28022
28023
28024
28025
28026
28027
28028
28029
28030
28031
28032
28033
28034
28035
28036
28037
28038
28039
28040
28041
28042
28043
28044
28045
28046
28047
28048
28049
28050
28051
28052
28053
28054
28055
28056
28057
28058
28059
28060
28061
28062
28063
28064
28065
28066
28067
28068
28069
28070
28071
28072
28073
28074
28075
28076
28077
28078
28079
28080
28081
28082
28083
28084
28085
28086
28087
28088
28089
28090
28091
28092
28093
28094
28095
28096
28097
28098
28099
28100
28101
28102
28103
28104
28105
28106
28107
28108
28109
28110
28111
28112
28113
28114
28115
28116
28117
28118
28119
28120
28121
28122
28123
28124
28125
28126
28127
28128
28129
28130
28131
28132
28133
28134
28135
28136
28137
28138
28139
28140
28141
28142
28143
28144
28145
28146
28147
28148
28149
28150
28151
28152
28153
28154
28155
28156
28157
28158
28159
28160
28161
28162
28163
28164
28165
28166
28167
28168
28169
28170
28171
28172
28173
28174
28175
28176
28177
28178
28179
28180
28181
28182
28183
28184
28185
28186
28187
28188
28189
28190
28191
28192
28193
28194
28195
28196
28197
28198
28199
28200
28201
28202
28203
28204
28205
28206
28207
28208
28209
28210
28211
28212
28213
28214
28215
28216
28217
28218
28219
28220
28221
28222
28223
28224
28225
28226
28227
28228
28229
28230
28231
28232
28233
28234
28235
28236
28237
28238
28239
28240
28241
28242
28243
28244
28245
28246
28247
28248
28249
28250
28251
28252
28253
28254
28255
28256
28257
28258
28259
28260
28261
28262
28263
28264
28265
28266
28267
28268
28269
28270
28271
28272
28273
28274
28275
28276
28277
28278
28279
28280
28281
28282
28283
28284
28285
28286
28287
28288
28289
28290
28291
28292
28293
28294
28295
28296
28297
28298
28299
28300
28301
28302
28303
28304
28305
28306
28307
28308
28309
28310
28311
28312
28313
28314
28315
28316
28317
28318
28319
28320
28321
28322
28323
28324
28325
28326
28327
28328
28329
28330
28331
28332
28333
28334
28335
28336
28337
28338
28339
28340
28341
28342
28343
28344
28345
28346
28347
28348
28349
28350
28351
28352
28353
28354
28355
28356
28357
28358
28359
28360
28361
28362
28363
28364
28365
28366
28367
28368
28369
28370
28371
28372
28373
28374
28375
28376
28377
28378
28379
28380
28381
28382
28383
28384
28385
28386
28387
28388
28389
28390
28391
28392
28393
28394
28395
28396
28397
28398
28399
28400
28401
28402
28403
28404
28405
28406
28407
28408
28409
28410
28411
28412
28413
28414
28415
28416
28417
28418
28419
28420
28421
28422
28423
28424
28425
28426
28427
28428
28429
28430
28431
28432
28433
28434
28435
28436
28437
28438
28439
28440
28441
28442
28443
28444
28445
28446
28447
28448
28449
28450
28451
28452
28453
28454
28455
28456
28457
28458
28459
28460
28461
28462
28463
28464
28465
28466
28467
28468
28469
28470
28471
28472
28473
28474
28475
28476
28477
28478
28479
28480
28481
28482
28483
28484
28485
28486
28487
28488
28489
28490
28491
28492
28493
28494
28495
28496
28497
28498
28499
28500
28501
28502
28503
28504
28505
28506
28507
28508
28509
28510
28511
28512
28513
28514
28515
28516
28517
28518
28519
28520
28521
28522
28523
28524
28525
28526
28527
28528
28529
28530
28531
28532
28533
28534
28535
28536
28537
28538
28539
28540
28541
28542
28543
28544
28545
28546
28547
28548
28549
28550
28551
28552
28553
28554
28555
28556
28557
28558
28559
28560
28561
28562
28563
28564
28565
28566
28567
28568
28569
28570
28571
28572
28573
28574
28575
28576
28577
28578
28579
28580
28581
28582
28583
28584
28585
28586
28587
28588
28589
28590
28591
28592
28593
28594
28595
28596
28597
28598
28599
28600
28601
28602
28603
28604
28605
28606
28607
28608
28609
28610
28611
28612
28613
28614
28615
28616
28617
28618
28619
28620
28621
28622
28623
28624
28625
28626
28627
28628
28629
28630
28631
28632
28633
28634
28635
28636
28637
28638
28639
28640
28641
28642
28643
28644
28645
28646
28647
28648
28649
28650
28651
28652
28653
28654
28655
28656
28657
28658
28659
28660
28661
28662
28663
28664
28665
28666
28667
28668
28669
28670
28671
28672
28673
28674
28675
28676
28677
28678
28679
28680
28681
28682
28683
28684
28685
28686
28687
28688
28689
28690
28691
28692
28693
28694
28695
28696
28697
28698
28699
28700
28701
28702
28703
28704
28705
28706
28707
28708
28709
28710
28711
28712
28713
28714
28715
28716
28717
28718
28719
28720
28721
28722
28723
28724
28725
28726
28727
28728
28729
28730
28731
28732
28733
28734
28735
28736
28737
28738
28739
28740
28741
28742
28743
28744
28745
28746
28747
28748
28749
28750
28751
28752
28753
28754
28755
28756
28757
28758
28759
28760
28761
28762
28763
28764
28765
28766
28767
28768
28769
28770
28771
28772
28773
28774
28775
28776
28777
28778
28779
28780
28781
28782
28783
28784
28785
28786
28787
28788
28789
28790
28791
28792
28793
28794
28795
28796
28797
28798
28799
28800
28801
28802
28803
28804
28805
28806
28807
28808
28809
28810
28811
28812
28813
28814
28815
28816
28817
28818
28819
28820
28821
28822
28823
28824
28825
28826
28827
28828
28829
28830
28831
28832
28833
28834
28835
28836
28837
28838
28839
28840
28841
28842
28843
28844
28845
28846
28847
28848
28849
28850
28851
28852
28853
28854
28855
28856
28857
28858
28859
28860
28861
28862
28863
28864
28865
28866
28867
28868
28869
28870
28871
28872
28873
28874
28875
28876
28877
28878
28879
28880
28881
28882
28883
28884
28885
28886
28887
28888
28889
28890
28891
28892
28893
28894
28895
28896
28897
28898
28899
28900
28901
28902
28903
28904
28905
28906
28907
28908
28909
28910
28911
28912
28913
28914
28915
28916
28917
28918
28919
28920
28921
28922
28923
28924
28925
28926
28927
28928
28929
28930
28931
28932
28933
28934
28935
28936
28937
28938
28939
28940
28941
28942
28943
28944
28945
28946
28947
28948
28949
28950
28951
28952
28953
28954
28955
28956
28957
28958
28959
28960
28961
28962
28963
28964
28965
28966
28967
28968
28969
28970
28971
28972
28973
28974
28975
28976
28977
28978
28979
28980
28981
28982
28983
28984
28985
28986
28987
28988
28989
28990
28991
28992
28993
28994
28995
28996
28997
28998
28999
29000
29001
29002
29003
29004
29005
29006
29007
29008
29009
29010
29011
29012
29013
29014
29015
29016
29017
29018
29019
29020
29021
29022
29023
29024
29025
29026
29027
29028
29029
29030
29031
29032
29033
29034
29035
29036
29037
29038
29039
29040
29041
29042
29043
29044
29045
29046
29047
29048
29049
29050
29051
29052
29053
29054
29055
29056
29057
29058
29059
29060
29061
29062
29063
29064
29065
29066
29067
29068
29069
29070
29071
29072
29073
29074
29075
29076
29077
29078
29079
29080
29081
29082
29083
29084
29085
29086
29087
29088
29089
29090
29091
29092
29093
29094
29095
29096
29097
29098
29099
29100
29101
29102
29103
29104
29105
29106
29107
29108
29109
29110
29111
29112
29113
29114
29115
29116
29117
29118
29119
29120
29121
29122
29123
29124
29125
29126
29127
29128
29129
29130
29131
29132
29133
29134
29135
29136
29137
29138
29139
29140
29141
29142
29143
29144
29145
29146
29147
29148
29149
29150
29151
29152
29153
29154
29155
29156
29157
29158
29159
29160
29161
29162
29163
29164
29165
29166
29167
29168
29169
29170
29171
29172
29173
29174
29175
29176
29177
29178
29179
29180
29181
29182
29183
29184
29185
29186
29187
29188
29189
29190
29191
29192
29193
29194
29195
29196
29197
29198
29199
29200
29201
29202
29203
29204
29205
29206
29207
29208
29209
29210
29211
29212
29213
29214
29215
29216
29217
29218
29219
29220
29221
29222
29223
29224
29225
29226
29227
29228
29229
29230
29231
29232
29233
29234
29235
29236
29237
29238
29239
29240
29241
29242
29243
29244
29245
29246
29247
29248
29249
29250
29251
29252
29253
29254
29255
29256
29257
29258
29259
29260
29261
29262
29263
29264
29265
29266
29267
29268
29269
29270
29271
29272
29273
29274
29275
29276
29277
29278
29279
29280
29281
29282
29283
29284
29285
29286
29287
29288
29289
29290
29291
29292
29293
29294
29295
29296
29297
29298
29299
29300
29301
29302
29303
29304
29305
29306
29307
29308
29309
29310
29311
29312
29313
29314
29315
29316
29317
29318
29319
29320
29321
29322
29323
29324
29325
29326
29327
29328
29329
29330
29331
29332
29333
29334
29335
29336
29337
29338
29339
29340
29341
29342
29343
29344
29345
29346
29347
29348
29349
29350
29351
29352
29353
29354
29355
29356
29357
29358
29359
29360
29361
29362
29363
29364
29365
29366
29367
29368
29369
29370
29371
29372
29373
29374
29375
29376
29377
29378
29379
29380
29381
29382
29383
29384
29385
29386
29387
29388
29389
29390
29391
29392
29393
29394
29395
29396
29397
29398
29399
29400
29401
29402
29403
29404
29405
29406
29407
29408
29409
29410
29411
29412
29413
29414
29415
29416
29417
29418
29419
29420
29421
29422
29423
29424
29425
29426
29427
29428
29429
29430
29431
29432
29433
29434
29435
29436
29437
29438
29439
29440
29441
29442
29443
29444
29445
29446
29447
29448
29449
29450
29451
29452
29453
29454
29455
29456
29457
29458
29459
29460
29461
29462
29463
29464
29465
29466
29467
29468
29469
29470
29471
29472
29473
29474
29475
29476
29477
29478
29479
29480
29481
29482
29483
29484
29485
29486
29487
29488
29489
29490
29491
29492
29493
29494
29495
29496
29497
29498
29499
29500
29501
29502
29503
29504
29505
29506
29507
29508
29509
29510
29511
29512
29513
29514
29515
29516
29517
29518
29519
29520
29521
29522
29523
29524
29525
29526
29527
29528
29529
29530
29531
29532
29533
29534
29535
29536
29537
29538
29539
29540
29541
29542
29543
29544
29545
29546
29547
29548
29549
29550
29551
29552
29553
29554
29555
29556
29557
29558
29559
29560
29561
29562
29563
29564
29565
29566
29567
29568
29569
29570
29571
29572
29573
29574
29575
29576
29577
29578
29579
29580
29581
29582
29583
29584
29585
29586
29587
29588
29589
29590
29591
29592
29593
29594
29595
29596
29597
29598
29599
29600
29601
29602
29603
29604
29605
29606
29607
29608
29609
29610
29611
29612
29613
29614
29615
29616
29617
29618
29619
29620
29621
29622
29623
29624
29625
29626
29627
29628
29629
29630
29631
29632
29633
29634
29635
29636
29637
29638
29639
29640
29641
29642
29643
29644
29645
29646
29647
29648
29649
29650
29651
29652
29653
29654
29655
29656
29657
29658
29659
29660
29661
29662
29663
29664
29665
29666
29667
29668
29669
29670
29671
29672
29673
29674
29675
29676
29677
29678
29679
29680
29681
29682
29683
29684
29685
29686
29687
29688
29689
29690
29691
29692
29693
29694
29695
29696
29697
29698
29699
29700
29701
29702
29703
29704
29705
29706
29707
29708
29709
29710
29711
29712
29713
29714
29715
29716
29717
29718
29719
29720
29721
29722
29723
29724
29725
29726
29727
29728
29729
29730
29731
29732
29733
29734
29735
29736
29737
29738
29739
29740
29741
29742
29743
29744
29745
29746
29747
29748
29749
29750
29751
29752
29753
29754
29755
29756
29757
29758
29759
29760
29761
29762
29763
29764
29765
29766
29767
29768
29769
29770
29771
29772
29773
29774
29775
29776
29777
29778
29779
29780
29781
29782
29783
29784
29785
29786
29787
29788
29789
29790
29791
29792
29793
29794
29795
29796
29797
29798
29799
29800
29801
29802
29803
29804
29805
29806
29807
29808
29809
29810
29811
29812
29813
29814
29815
29816
29817
29818
29819
29820
29821
29822
29823
29824
29825
29826
29827
29828
29829
29830
29831
29832
29833
29834
29835
29836
29837
29838
29839
29840
29841
29842
29843
29844
29845
29846
29847
29848
29849
29850
29851
29852
29853
29854
29855
29856
29857
29858
29859
29860
29861
29862
29863
29864
29865
29866
29867
29868
29869
29870
29871
29872
29873
29874
29875
29876
29877
29878
29879
29880
29881
29882
29883
29884
29885
29886
29887
29888
29889
29890
29891
29892
29893
29894
29895
29896
29897
29898
29899
29900
29901
29902
29903
29904
29905
29906
29907
29908
29909
29910
29911
29912
29913
29914
29915
29916
29917
29918
29919
29920
29921
29922
29923
29924
29925
29926
29927
29928
29929
29930
29931
29932
29933
29934
29935
29936
29937
29938
29939
29940
29941
29942
29943
29944
29945
29946
29947
29948
29949
29950
29951
29952
29953
29954
29955
29956
29957
29958
29959
29960
29961
29962
29963
29964
29965
29966
29967
29968
29969
29970
29971
29972
29973
29974
29975
29976
29977
29978
29979
29980
29981
29982
29983
29984
29985
29986
29987
29988
29989
29990
29991
29992
29993
29994
29995
29996
29997
29998
29999
30000
30001
30002
30003
30004
30005
30006
30007
30008
30009
30010
30011
30012
30013
30014
30015
30016
30017
30018
30019
30020
30021
30022
30023
30024
30025
30026
30027
30028
30029
30030
30031
30032
30033
30034
30035
30036
30037
30038
30039
30040
30041
30042
30043
30044
30045
30046
30047
30048
30049
30050
30051
30052
30053
30054
30055
30056
30057
30058
30059
30060
30061
30062
30063
30064
30065
30066
30067
30068
30069
30070
30071
30072
30073
30074
30075
30076
30077
30078
30079
30080
30081
30082
30083
30084
30085
30086
30087
30088
30089
30090
30091
30092
30093
30094
30095
30096
30097
30098
30099
30100
30101
30102
30103
30104
30105
30106
30107
30108
30109
30110
30111
30112
30113
30114
30115
30116
30117
30118
30119
30120
30121
30122
30123
30124
30125
30126
30127
30128
30129
30130
30131
30132
30133
30134
30135
30136
30137
30138
30139
30140
30141
30142
30143
30144
30145
30146
30147
30148
30149
30150
30151
30152
30153
30154
30155
30156
30157
30158
30159
30160
30161
30162
30163
30164
30165
30166
30167
30168
30169
30170
30171
30172
30173
30174
30175
30176
30177
30178
30179
30180
30181
30182
30183
30184
30185
30186
30187
30188
30189
30190
30191
30192
30193
30194
30195
30196
30197
30198
30199
30200
30201
30202
30203
30204
30205
30206
30207
30208
30209
30210
30211
30212
30213
30214
30215
30216
30217
30218
30219
30220
30221
30222
30223
30224
30225
30226
30227
30228
30229
30230
30231
30232
30233
30234
30235
30236
30237
30238
30239
30240
30241
30242
30243
30244
30245
30246
30247
30248
30249
30250
30251
30252
30253
30254
30255
30256
30257
30258
30259
30260
30261
30262
30263
30264
30265
30266
30267
30268
30269
30270
30271
30272
30273
30274
30275
30276
30277
30278
30279
30280
30281
30282
30283
30284
30285
30286
30287
30288
30289
30290
30291
30292
30293
30294
30295
30296
30297
30298
30299
30300
30301
30302
30303
30304
30305
30306
30307
30308
30309
30310
30311
30312
30313
30314
30315
30316
30317
30318
30319
30320
30321
30322
30323
30324
30325
30326
30327
30328
30329
30330
30331
30332
30333
30334
30335
30336
30337
30338
30339
30340
30341
30342
30343
30344
30345
30346
30347
30348
30349
30350
30351
30352
30353
30354
30355
30356
30357
30358
30359
30360
30361
30362
30363
30364
30365
30366
30367
30368
30369
30370
30371
30372
30373
30374
30375
30376
30377
30378
30379
30380
30381
30382
30383
30384
30385
30386
30387
30388
30389
30390
30391
30392
30393
30394
30395
30396
30397
30398
30399
30400
30401
30402
30403
30404
30405
30406
30407
30408
30409
30410
30411
30412
30413
30414
30415
30416
30417
30418
30419
30420
30421
30422
30423
30424
30425
30426
30427
30428
30429
30430
30431
30432
30433
30434
30435
30436
30437
30438
30439
30440
30441
30442
30443
30444
30445
30446
30447
30448
30449
30450
30451
30452
30453
30454
30455
30456
30457
30458
30459
30460
30461
30462
30463
30464
30465
30466
30467
30468
30469
30470
30471
30472
30473
30474
30475
30476
30477
30478
30479
30480
30481
30482
30483
30484
30485
30486
30487
30488
30489
30490
30491
30492
30493
30494
30495
30496
30497
30498
30499
30500
30501
30502
30503
30504
30505
30506
30507
30508
30509
30510
30511
30512
30513
30514
30515
30516
30517
30518
30519
30520
30521
30522
30523
30524
30525
30526
30527
30528
30529
30530
30531
30532
30533
30534
30535
30536
30537
30538
30539
30540
30541
30542
30543
30544
30545
30546
30547
30548
30549
30550
30551
30552
30553
30554
30555
30556
30557
30558
30559
30560
30561
30562
30563
30564
30565
30566
30567
30568
30569
30570
30571
30572
30573
30574
30575
30576
30577
30578
30579
30580
30581
30582
30583
30584
30585
30586
30587
30588
30589
30590
30591
30592
30593
30594
30595
30596
30597
30598
30599
30600
30601
30602
30603
30604
30605
30606
30607
30608
30609
30610
30611
30612
30613
30614
30615
30616
30617
30618
30619
30620
30621
30622
30623
30624
30625
30626
30627
30628
30629
30630
30631
30632
30633
30634
30635
30636
30637
30638
30639
30640
30641
30642
30643
30644
30645
30646
30647
30648
30649
30650
30651
30652
30653
30654
30655
30656
30657
30658
30659
30660
30661
30662
30663
30664
30665
30666
30667
30668
30669
30670
30671
30672
30673
30674
30675
30676
30677
30678
30679
30680
30681
30682
30683
30684
30685
30686
30687
30688
30689
30690
30691
30692
30693
30694
30695
30696
30697
30698
30699
30700
30701
30702
30703
30704
30705
30706
30707
30708
30709
30710
30711
30712
30713
30714
30715
30716
30717
30718
30719
30720
30721
30722
30723
30724
30725
30726
30727
30728
30729
30730
30731
30732
30733
30734
30735
30736
30737
30738
30739
30740
30741
30742
30743
30744
30745
30746
30747
30748
30749
30750
30751
30752
30753
30754
30755
30756
30757
30758
30759
30760
30761
30762
30763
30764
30765
30766
30767
30768
30769
30770
30771
30772
30773
30774
30775
30776
30777
30778
30779
30780
30781
30782
30783
30784
30785
30786
30787
30788
30789
30790
30791
30792
30793
30794
30795
30796
30797
30798
30799
30800
30801
30802
30803
30804
30805
30806
30807
30808
30809
30810
30811
30812
30813
30814
30815
30816
30817
30818
30819
30820
30821
30822
30823
30824
30825
30826
30827
30828
30829
30830
30831
30832
30833
30834
30835
30836
30837
30838
30839
30840
30841
30842
30843
30844
30845
30846
30847
30848
30849
30850
30851
30852
30853
30854
30855
30856
30857
30858
30859
30860
30861
30862
30863
30864
30865
30866
30867
30868
30869
30870
30871
30872
30873
30874
30875
30876
30877
30878
30879
30880
30881
30882
30883
30884
30885
30886
30887
30888
30889
30890
30891
30892
30893
30894
30895
30896
30897
30898
30899
30900
30901
30902
30903
30904
30905
30906
30907
30908
30909
30910
30911
30912
30913
30914
30915
30916
30917
30918
30919
30920
30921
30922
30923
30924
30925
30926
30927
30928
30929
30930
30931
30932
30933
30934
30935
30936
30937
30938
30939
30940
30941
30942
30943
30944
30945
30946
30947
30948
30949
30950
30951
30952
30953
30954
30955
30956
30957
30958
30959
30960
30961
30962
30963
30964
30965
30966
30967
30968
30969
30970
30971
30972
30973
30974
30975
30976
30977
30978
30979
30980
30981
30982
30983
30984
30985
30986
30987
30988
30989
30990
30991
30992
30993
30994
30995
30996
30997
30998
30999
31000
31001
31002
31003
31004
31005
31006
31007
31008
31009
31010
31011
31012
31013
31014
31015
31016
31017
31018
31019
31020
31021
31022
31023
31024
31025
31026
31027
31028
31029
31030
31031
31032
31033
31034
31035
31036
31037
31038
31039
31040
31041
31042
31043
31044
31045
31046
31047
31048
31049
31050
31051
31052
31053
31054
31055
31056
31057
31058
31059
31060
31061
31062
31063
31064
31065
31066
31067
31068
31069
31070
31071
31072
31073
31074
31075
31076
31077
31078
31079
31080
31081
31082
31083
31084
31085
31086
31087
31088
31089
31090
31091
31092
31093
31094
31095
31096
31097
31098
31099
31100
31101
31102
31103
31104
31105
31106
31107
31108
31109
31110
31111
31112
31113
31114
31115
31116
31117
31118
31119
31120
31121
31122
31123
31124
31125
31126
31127
31128
31129
31130
31131
31132
31133
31134
31135
31136
31137
31138
31139
31140
31141
31142
31143
31144
31145
31146
31147
31148
31149
31150
31151
31152
31153
31154
31155
31156
31157
31158
31159
31160
31161
31162
31163
31164
31165
31166
31167
31168
31169
31170
31171
31172
31173
31174
31175
31176
31177
31178
31179
31180
31181
31182
31183
31184
31185
31186
31187
31188
31189
31190
31191
31192
31193
31194
31195
31196
31197
31198
31199
31200
31201
31202
31203
31204
31205
31206
31207
31208
31209
31210
31211
31212
31213
31214
31215
31216
31217
31218
31219
31220
31221
31222
31223
31224
31225
31226
31227
31228
31229
31230
31231
31232
31233
31234
31235
31236
31237
31238
31239
31240
31241
31242
31243
31244
31245
31246
31247
31248
31249
31250
31251
31252
31253
31254
31255
31256
31257
31258
31259
31260
31261
31262
31263
31264
31265
31266
31267
31268
31269
31270
31271
31272
31273
31274
31275
31276
31277
31278
31279
31280
31281
31282
31283
31284
31285
31286
31287
31288
31289
31290
31291
31292
31293
31294
31295
31296
31297
31298
31299
31300
31301
31302
31303
31304
31305
31306
31307
31308
31309
31310
31311
31312
31313
31314
31315
31316
31317
31318
31319
31320
31321
31322
31323
31324
31325
31326
31327
31328
31329
31330
31331
31332
31333
31334
31335
31336
31337
31338
31339
31340
31341
31342
31343
31344
31345
31346
31347
31348
31349
31350
31351
31352
31353
31354
31355
31356
31357
31358
31359
31360
31361
31362
31363
31364
31365
31366
31367
31368
31369
31370
31371
31372
31373
31374
31375
31376
31377
31378
31379
31380
31381
31382
31383
31384
31385
31386
31387
31388
31389
31390
31391
31392
31393
31394
31395
31396
31397
31398
31399
31400
31401
31402
31403
31404
31405
31406
31407
31408
31409
31410
31411
31412
31413
31414
31415
31416
31417
31418
31419
31420
31421
31422
31423
31424
31425
31426
31427
31428
31429
31430
31431
31432
31433
31434
31435
31436
31437
31438
31439
31440
31441
31442
31443
31444
31445
31446
31447
31448
31449
31450
31451
31452
31453
31454
31455
31456
31457
31458
31459
31460
31461
31462
31463
31464
31465
31466
31467
31468
31469
31470
31471
31472
31473
31474
31475
31476
31477
31478
31479
31480
31481
31482
31483
31484
31485
31486
31487
31488
31489
31490
31491
31492
31493
31494
31495
31496
31497
31498
31499
31500
31501
31502
31503
31504
31505
31506
31507
31508
31509
31510
31511
31512
31513
31514
31515
31516
31517
31518
31519
31520
31521
31522
31523
31524
31525
31526
31527
31528
31529
31530
31531
31532
31533
31534
31535
31536
31537
31538
31539
31540
31541
31542
31543
31544
31545
31546
31547
31548
31549
31550
31551
31552
31553
31554
31555
31556
31557
31558
31559
31560
31561
31562
31563
31564
31565
31566
31567
31568
31569
31570
31571
31572
31573
31574
31575
31576
31577
31578
31579
31580
31581
31582
31583
31584
31585
31586
31587
31588
31589
31590
31591
31592
31593
31594
31595
31596
31597
31598
31599
31600
31601
31602
31603
31604
31605
31606
31607
31608
31609
31610
31611
31612
31613
31614
31615
31616
31617
31618
31619
31620
31621
31622
31623
31624
31625
31626
31627
31628
31629
31630
31631
31632
31633
31634
31635
31636
31637
31638
31639
31640
31641
31642
31643
31644
31645
31646
31647
31648
31649
31650
31651
31652
31653
31654
31655
31656
31657
31658
31659
31660
31661
31662
31663
31664
31665
31666
31667
31668
31669
31670
31671
31672
31673
31674
31675
31676
31677
31678
31679
31680
31681
31682
31683
31684
31685
31686
31687
31688
31689
31690
31691
31692
31693
31694
31695
31696
31697
31698
31699
31700
31701
31702
31703
31704
31705
31706
31707
31708
31709
31710
31711
31712
31713
31714
31715
31716
31717
31718
31719
31720
31721
31722
31723
31724
31725
31726
31727
31728
31729
31730
31731
31732
31733
31734
31735
31736
31737
31738
31739
31740
31741
31742
31743
31744
31745
31746
31747
31748
31749
31750
31751
31752
31753
31754
31755
31756
31757
31758
31759
31760
31761
31762
31763
31764
31765
31766
31767
31768
31769
31770
31771
31772
31773
31774
31775
31776
31777
31778
31779
31780
31781
31782
31783
31784
31785
31786
31787
31788
31789
31790
31791
31792
31793
31794
31795
31796
31797
31798
31799
31800
31801
31802
31803
31804
31805
31806
31807
31808
31809
31810
31811
31812
31813
31814
31815
31816
31817
31818
31819
31820
31821
31822
31823
31824
31825
31826
31827
31828
31829
31830
31831
31832
31833
31834
31835
31836
31837
31838
31839
31840
31841
31842
31843
31844
31845
31846
31847
31848
31849
31850
31851
31852
31853
31854
31855
31856
31857
31858
31859
31860
31861
31862
31863
31864
31865
31866
31867
31868
31869
31870
31871
31872
31873
31874
31875
31876
31877
31878
31879
31880
31881
31882
31883
31884
31885
31886
31887
31888
31889
31890
31891
31892
31893
31894
31895
31896
31897
31898
31899
31900
31901
31902
31903
31904
31905
31906
31907
31908
31909
31910
31911
31912
31913
31914
31915
31916
31917
31918
31919
31920
31921
31922
31923
31924
31925
31926
31927
31928
31929
31930
31931
31932
31933
31934
31935
31936
31937
31938
31939
31940
31941
31942
31943
31944
31945
31946
31947
31948
31949
31950
31951
31952
31953
31954
31955
31956
31957
31958
31959
31960
31961
31962
31963
31964
31965
31966
31967
31968
31969
31970
31971
31972
31973
31974
31975
31976
31977
31978
31979
31980
31981
31982
31983
31984
31985
31986
31987
31988
31989
31990
31991
31992
31993
31994
31995
31996
31997
31998
31999
32000
32001
32002
32003
32004
32005
32006
32007
32008
32009
32010
32011
32012
32013
32014
32015
32016
32017
32018
32019
32020
32021
32022
32023
32024
32025
32026
32027
32028
32029
32030
32031
32032
32033
32034
32035
32036
32037
32038
32039
32040
32041
32042
32043
32044
32045
32046
32047
32048
32049
32050
32051
32052
32053
32054
32055
32056
32057
32058
32059
32060
32061
32062
32063
32064
32065
32066
32067
32068
32069
32070
32071
32072
32073
32074
32075
32076
32077
32078
32079
32080
32081
32082
32083
32084
32085
32086
32087
32088
32089
32090
32091
32092
32093
32094
32095
32096
32097
32098
32099
32100
32101
32102
32103
32104
32105
32106
32107
32108
32109
32110
32111
32112
32113
32114
32115
32116
32117
32118
32119
32120
32121
32122
32123
32124
32125
32126
32127
32128
32129
32130
32131
32132
32133
32134
32135
32136
32137
32138
32139
32140
32141
32142
32143
32144
32145
32146
32147
32148
32149
32150
32151
32152
32153
32154
32155
32156
32157
32158
32159
32160
32161
32162
32163
32164
32165
32166
32167
32168
32169
32170
32171
32172
32173
32174
32175
32176
32177
32178
32179
32180
32181
32182
32183
32184
32185
32186
32187
32188
32189
32190
32191
32192
32193
32194
32195
32196
32197
32198
32199
32200
32201
32202
32203
32204
32205
32206
32207
32208
32209
32210
32211
32212
32213
32214
32215
32216
32217
32218
32219
32220
32221
32222
32223
32224
32225
32226
32227
32228
32229
32230
32231
32232
32233
32234
32235
32236
32237
32238
32239
32240
32241
32242
32243
32244
32245
32246
32247
32248
32249
32250
32251
32252
32253
32254
32255
32256
32257
32258
32259
32260
32261
32262
32263
32264
32265
32266
32267
32268
32269
32270
32271
32272
32273
32274
32275
32276
32277
32278
32279
32280
32281
32282
32283
32284
32285
32286
32287
32288
32289
32290
32291
32292
32293
32294
32295
32296
32297
32298
32299
32300
32301
32302
32303
32304
32305
32306
32307
32308
32309
32310
32311
32312
32313
32314
32315
32316
32317
32318
32319
32320
32321
32322
32323
32324
32325
32326
32327
32328
32329
32330
32331
32332
32333
32334
32335
32336
32337
32338
32339
32340
32341
32342
32343
32344
32345
32346
32347
32348
32349
32350
32351
32352
32353
32354
32355
32356
32357
32358
32359
32360
32361
32362
32363
32364
32365
32366
32367
32368
32369
32370
32371
32372
32373
32374
32375
32376
32377
32378
32379
32380
32381
32382
32383
32384
32385
32386
32387
32388
32389
32390
32391
32392
32393
32394
32395
32396
32397
32398
32399
32400
32401
32402
32403
32404
32405
32406
32407
32408
32409
32410
32411
32412
32413
32414
32415
32416
32417
32418
32419
32420
32421
32422
32423
32424
32425
32426
32427
32428
32429
32430
32431
32432
32433
32434
32435
32436
32437
32438
32439
32440
32441
32442
32443
32444
32445
32446
32447
32448
32449
32450
32451
32452
32453
32454
32455
32456
32457
32458
32459
32460
32461
32462
32463
32464
32465
32466
32467
32468
32469
32470
32471
32472
32473
32474
32475
32476
32477
32478
32479
32480
32481
32482
32483
32484
32485
32486
32487
32488
32489
32490
32491
32492
32493
32494
32495
32496
32497
32498
32499
32500
32501
32502
32503
32504
32505
32506
32507
32508
32509
32510
32511
32512
32513
32514
32515
32516
32517
32518
32519
32520
32521
32522
32523
32524
32525
32526
32527
32528
32529
32530
32531
32532
32533
32534
32535
32536
32537
32538
32539
32540
32541
32542
32543
32544
32545
32546
32547
32548
32549
32550
32551
32552
32553
32554
32555
32556
32557
32558
32559
32560
32561
32562
32563
32564
32565
32566
32567
32568
32569
32570
32571
32572
32573
32574
32575
32576
32577
32578
32579
32580
32581
32582
32583
32584
32585
32586
32587
32588
32589
32590
32591
32592
32593
32594
32595
32596
32597
32598
32599
32600
32601
32602
32603
32604
32605
32606
32607
32608
32609
32610
32611
32612
32613
32614
32615
32616
32617
32618
32619
32620
32621
32622
32623
32624
32625
32626
32627
32628
32629
32630
32631
32632
32633
32634
32635
32636
32637
32638
32639
32640
32641
32642
32643
32644
32645
32646
32647
32648
32649
32650
32651
32652
32653
32654
32655
32656
32657
32658
32659
32660
32661
32662
32663
32664
32665
32666
32667
32668
32669
32670
32671
32672
32673
32674
32675
32676
32677
32678
32679
32680
32681
32682
32683
32684
32685
32686
32687
32688
32689
32690
32691
32692
32693
32694
32695
32696
32697
32698
32699
32700
32701
32702
32703
32704
32705
32706
32707
32708
32709
32710
32711
32712
32713
32714
32715
32716
32717
32718
32719
32720
32721
32722
32723
32724
32725
32726
32727
32728
32729
32730
32731
32732
32733
32734
32735
32736
32737
32738
32739
32740
32741
32742
32743
32744
32745
32746
32747
32748
32749
32750
32751
32752
32753
32754
32755
32756
32757
32758
32759
32760
32761
32762
32763
32764
32765
32766
32767
32768
32769
32770
32771
32772
32773
32774
32775
32776
32777
32778
32779
32780
32781
32782
32783
32784
32785
32786
32787
32788
32789
32790
32791
32792
32793
32794
32795
32796
32797
32798
32799
32800
32801
32802
32803
32804
32805
32806
32807
32808
32809
32810
32811
32812
32813
32814
32815
32816
32817
32818
32819
32820
32821
32822
32823
32824
32825
32826
32827
32828
32829
32830
32831
32832
32833
32834
32835
32836
32837
32838
32839
32840
32841
32842
32843
32844
32845
32846
32847
32848
32849
32850
32851
32852
32853
32854
32855
32856
32857
32858
32859
32860
32861
32862
32863
32864
32865
32866
32867
32868
32869
32870
32871
32872
32873
32874
32875
32876
32877
32878
32879
32880
32881
32882
32883
32884
32885
32886
32887
32888
32889
32890
32891
32892
32893
32894
32895
32896
32897
32898
32899
32900
32901
32902
32903
32904
32905
32906
32907
32908
32909
32910
32911
32912
32913
32914
32915
32916
32917
32918
32919
32920
32921
32922
32923
32924
32925
32926
32927
32928
32929
32930
32931
32932
32933
32934
32935
32936
32937
32938
32939
32940
32941
32942
32943
32944
32945
32946
32947
32948
32949
32950
32951
32952
32953
32954
32955
32956
32957
32958
32959
32960
32961
32962
32963
32964
32965
32966
32967
32968
32969
32970
32971
32972
32973
32974
32975
32976
32977
32978
32979
32980
32981
32982
32983
32984
32985
32986
32987
32988
32989
32990
32991
32992
32993
32994
32995
32996
32997
32998
32999
33000
33001
33002
33003
33004
33005
33006
33007
33008
33009
33010
33011
33012
33013
33014
33015
33016
33017
33018
33019
33020
33021
33022
33023
33024
33025
33026
33027
33028
33029
33030
33031
33032
33033
33034
33035
33036
33037
33038
33039
33040
33041
33042
33043
33044
33045
33046
33047
33048
33049
33050
33051
33052
33053
33054
33055
33056
33057
33058
33059
33060
33061
33062
33063
33064
33065
33066
33067
33068
33069
33070
33071
33072
33073
33074
33075
33076
33077
33078
33079
33080
33081
33082
33083
33084
33085
33086
33087
33088
33089
33090
33091
33092
33093
33094
33095
33096
33097
33098
33099
33100
33101
33102
33103
33104
33105
33106
33107
33108
33109
33110
33111
33112
33113
33114
33115
33116
33117
33118
33119
33120
33121
33122
33123
33124
33125
33126
33127
33128
33129
33130
33131
33132
33133
33134
33135
33136
33137
33138
33139
33140
33141
33142
33143
33144
33145
33146
33147
33148
33149
33150
33151
33152
33153
33154
33155
33156
33157
33158
33159
33160
33161
33162
33163
33164
33165
33166
33167
33168
33169
33170
33171
33172
33173
33174
33175
33176
33177
33178
33179
33180
33181
33182
33183
33184
33185
33186
33187
33188
33189
33190
33191
33192
33193
33194
33195
33196
33197
33198
33199
33200
33201
33202
33203
33204
33205
33206
33207
33208
33209
33210
33211
33212
33213
33214
33215
33216
33217
33218
33219
33220
33221
33222
33223
33224
33225
33226
33227
33228
33229
33230
33231
33232
33233
33234
33235
33236
33237
33238
33239
33240
33241
33242
33243
33244
33245
33246
33247
33248
33249
33250
33251
33252
33253
33254
33255
33256
33257
33258
33259
33260
33261
33262
33263
33264
33265
33266
33267
33268
33269
33270
33271
33272
33273
33274
33275
33276
33277
33278
33279
33280
33281
33282
33283
33284
33285
33286
33287
33288
33289
33290
33291
33292
33293
33294
33295
33296
33297
33298
33299
33300
33301
33302
33303
33304
33305
33306
33307
33308
33309
33310
33311
33312
33313
33314
33315
33316
33317
33318
33319
33320
33321
33322
33323
33324
33325
33326
33327
33328
33329
33330
33331
33332
33333
33334
33335
33336
33337
33338
33339
33340
33341
33342
33343
33344
33345
33346
33347
33348
33349
33350
33351
33352
33353
33354
33355
33356
33357
33358
33359
33360
33361
33362
33363
33364
33365
33366
33367
33368
33369
33370
33371
33372
33373
33374
33375
33376
33377
33378
33379
33380
33381
33382
33383
33384
33385
33386
33387
33388
33389
33390
33391
33392
33393
33394
33395
33396
33397
33398
33399
33400
33401
33402
33403
33404
33405
33406
33407
33408
33409
33410
33411
33412
33413
33414
33415
33416
33417
33418
33419
33420
33421
33422
33423
33424
33425
33426
33427
33428
33429
33430
33431
33432
33433
33434
33435
33436
33437
33438
33439
33440
33441
33442
33443
33444
33445
33446
33447
33448
33449
33450
33451
33452
33453
33454
33455
33456
33457
33458
33459
33460
33461
33462
33463
33464
33465
33466
33467
33468
33469
33470
33471
33472
33473
33474
33475
33476
33477
33478
33479
33480
33481
33482
33483
33484
33485
33486
33487
33488
33489
33490
33491
33492
33493
33494
33495
33496
33497
33498
33499
33500
33501
33502
33503
33504
33505
33506
33507
33508
33509
33510
33511
33512
33513
33514
33515
33516
33517
33518
33519
33520
33521
33522
33523
33524
33525
33526
33527
33528
33529
33530
33531
33532
33533
33534
33535
33536
33537
33538
33539
33540
33541
33542
33543
33544
33545
33546
33547
33548
33549
33550
33551
33552
33553
33554
33555
33556
33557
33558
33559
33560
33561
33562
33563
33564
33565
33566
33567
33568
33569
33570
33571
33572
33573
33574
33575
33576
33577
33578
33579
33580
33581
33582
33583
33584
33585
33586
33587
33588
33589
33590
33591
33592
33593
33594
33595
33596
33597
33598
33599
33600
33601
33602
33603
33604
33605
33606
33607
33608
33609
33610
33611
33612
33613
33614
33615
33616
33617
33618
33619
33620
33621
33622
33623
33624
33625
33626
33627
33628
33629
33630
33631
33632
33633
33634
33635
33636
33637
33638
33639
33640
33641
33642
33643
33644
33645
33646
33647
33648
33649
33650
33651
33652
33653
33654
33655
33656
33657
33658
33659
33660
33661
33662
33663
33664
33665
33666
33667
33668
33669
33670
33671
33672
33673
33674
33675
33676
33677
33678
33679
33680
33681
33682
33683
33684
33685
33686
33687
33688
33689
33690
33691
33692
33693
33694
33695
33696
33697
33698
33699
33700
33701
33702
33703
33704
33705
33706
33707
33708
33709
33710
33711
33712
33713
33714
33715
33716
33717
33718
33719
33720
33721
33722
33723
33724
33725
33726
33727
33728
33729
33730
33731
33732
33733
33734
33735
33736
33737
33738
33739
33740
33741
33742
33743
33744
33745
33746
33747
33748
33749
33750
33751
33752
33753
33754
33755
33756
33757
33758
33759
33760
33761
33762
33763
33764
33765
33766
33767
33768
33769
33770
33771
33772
33773
33774
33775
33776
33777
33778
33779
33780
33781
33782
33783
33784
33785
33786
33787
33788
33789
33790
33791
33792
33793
33794
33795
33796
33797
33798
33799
33800
33801
33802
33803
33804
33805
33806
33807
33808
33809
33810
33811
33812
33813
33814
33815
33816
33817
33818
33819
33820
33821
33822
33823
33824
33825
33826
33827
33828
33829
33830
33831
33832
33833
33834
33835
33836
33837
33838
33839
33840
33841
33842
33843
33844
33845
33846
33847
33848
33849
33850
33851
33852
33853
33854
33855
33856
33857
33858
33859
33860
33861
33862
33863
33864
33865
33866
33867
33868
33869
33870
33871
33872
33873
33874
33875
33876
33877
33878
33879
33880
33881
33882
33883
33884
33885
33886
33887
33888
33889
33890
33891
33892
33893
33894
33895
33896
33897
33898
33899
33900
33901
33902
33903
33904
33905
33906
33907
33908
33909
33910
33911
33912
33913
33914
33915
33916
33917
33918
33919
33920
33921
33922
33923
33924
33925
33926
33927
33928
33929
33930
33931
33932
33933
33934
33935
33936
33937
33938
33939
33940
33941
33942
33943
33944
33945
33946
33947
33948
33949
33950
33951
33952
33953
33954
33955
33956
33957
33958
33959
33960
33961
33962
33963
33964
33965
33966
33967
33968
33969
33970
33971
33972
33973
33974
33975
33976
33977
33978
33979
33980
33981
33982
33983
33984
33985
33986
33987
33988
33989
33990
33991
33992
33993
33994
33995
33996
33997
33998
33999
34000
34001
34002
34003
34004
34005
34006
34007
34008
34009
34010
34011
34012
34013
34014
34015
34016
34017
34018
34019
34020
34021
34022
34023
34024
34025
34026
34027
34028
34029
34030
34031
34032
34033
34034
34035
34036
34037
34038
34039
34040
34041
34042
34043
34044
34045
34046
34047
34048
34049
34050
34051
34052
34053
34054
34055
34056
34057
34058
34059
34060
34061
34062
34063
34064
34065
34066
34067
34068
34069
34070
34071
34072
34073
34074
34075
34076
34077
34078
34079
34080
34081
34082
34083
34084
34085
34086
34087
34088
34089
34090
34091
34092
34093
34094
34095
34096
34097
34098
34099
34100
34101
34102
34103
34104
34105
34106
34107
34108
34109
34110
34111
34112
34113
34114
34115
34116
34117
34118
34119
34120
34121
34122
34123
34124
34125
34126
34127
34128
34129
34130
34131
34132
34133
34134
34135
34136
34137
34138
34139
34140
34141
34142
34143
34144
34145
34146
34147
34148
34149
34150
34151
34152
34153
34154
34155
34156
34157
34158
34159
34160
34161
34162
34163
34164
34165
34166
34167
34168
34169
34170
34171
34172
34173
34174
34175
34176
34177
34178
34179
34180
34181
34182
34183
34184
34185
34186
34187
34188
34189
34190
34191
34192
34193
34194
34195
34196
34197
34198
34199
34200
34201
34202
34203
34204
34205
34206
34207
34208
34209
34210
34211
34212
34213
34214
34215
34216
34217
34218
34219
34220
34221
34222
34223
34224
34225
34226
34227
34228
34229
34230
34231
34232
34233
34234
34235
34236
34237
34238
34239
34240
34241
34242
34243
34244
34245
34246
34247
34248
34249
34250
34251
34252
34253
34254
34255
34256
34257
34258
34259
34260
34261
34262
34263
34264
34265
34266
34267
34268
34269
34270
34271
34272
34273
34274
34275
34276
34277
34278
34279
34280
34281
34282
34283
34284
34285
34286
34287
34288
34289
34290
34291
34292
34293
34294
34295
34296
34297
34298
34299
34300
34301
34302
34303
34304
34305
34306
34307
34308
34309
34310
34311
34312
34313
34314
34315
34316
34317
34318
34319
34320
34321
34322
34323
34324
34325
34326
34327
34328
34329
34330
34331
34332
34333
34334
34335
34336
34337
34338
34339
34340
34341
34342
34343
34344
34345
34346
34347
34348
34349
34350
34351
34352
34353
34354
34355
34356
34357
34358
34359
34360
34361
34362
34363
34364
34365
34366
34367
34368
34369
34370
34371
34372
34373
34374
34375
34376
34377
34378
34379
34380
34381
34382
34383
34384
34385
34386
34387
34388
34389
34390
34391
34392
34393
34394
34395
34396
34397
34398
34399
34400
34401
34402
34403
34404
34405
34406
34407
34408
34409
34410
34411
34412
34413
34414
34415
34416
34417
34418
34419
34420
34421
34422
34423
34424
34425
34426
34427
34428
34429
34430
34431
34432
34433
34434
34435
34436
34437
34438
34439
34440
34441
34442
34443
34444
34445
34446
34447
34448
34449
34450
34451
34452
34453
34454
34455
34456
34457
34458
34459
34460
34461
34462
34463
34464
34465
34466
34467
34468
34469
34470
34471
34472
34473
34474
34475
34476
34477
34478
34479
34480
34481
34482
34483
34484
34485
34486
34487
34488
34489
34490
34491
34492
34493
34494
34495
34496
34497
34498
34499
34500
34501
34502
34503
34504
34505
34506
34507
34508
34509
34510
34511
34512
34513
34514
34515
34516
34517
34518
34519
34520
34521
34522
34523
34524
34525
34526
34527
34528
34529
34530
34531
34532
34533
34534
34535
34536
34537
34538
34539
34540
34541
34542
34543
34544
34545
34546
34547
34548
34549
34550
34551
34552
34553
34554
34555
34556
34557
34558
34559
34560
34561
34562
34563
34564
34565
34566
34567
34568
34569
34570
34571
34572
34573
34574
34575
34576
34577
34578
34579
34580
34581
34582
34583
34584
34585
34586
34587
34588
34589
34590
34591
34592
34593
34594
34595
34596
34597
34598
34599
34600
34601
34602
34603
34604
34605
34606
34607
34608
34609
34610
34611
34612
34613
34614
34615
34616
34617
34618
34619
34620
34621
34622
34623
34624
34625
34626
34627
34628
34629
34630
34631
34632
34633
34634
34635
34636
34637
34638
34639
34640
34641
34642
34643
34644
34645
34646
34647
34648
34649
34650
34651
34652
34653
34654
34655
34656
34657
34658
34659
34660
34661
34662
34663
34664
34665
34666
34667
34668
34669
34670
34671
34672
34673
34674
34675
34676
34677
34678
34679
34680
34681
34682
34683
34684
34685
34686
34687
34688
34689
34690
34691
34692
34693
34694
34695
34696
34697
34698
34699
34700
34701
34702
34703
34704
34705
34706
34707
34708
34709
34710
34711
34712
34713
34714
34715
34716
34717
34718
34719
34720
34721
34722
34723
34724
34725
34726
34727
34728
34729
34730
34731
34732
34733
34734
34735
34736
34737
34738
34739
34740
34741
34742
34743
34744
34745
34746
34747
34748
34749
34750
34751
34752
34753
34754
34755
34756
34757
34758
34759
34760
34761
34762
34763
34764
34765
34766
34767
34768
34769
34770
34771
34772
34773
34774
34775
34776
34777
34778
34779
34780
34781
34782
34783
34784
34785
34786
34787
34788
34789
34790
34791
34792
34793
34794
34795
34796
34797
34798
34799
34800
34801
34802
34803
34804
34805
34806
34807
34808
34809
34810
34811
34812
34813
34814
34815
34816
34817
34818
34819
34820
34821
34822
34823
34824
34825
34826
34827
34828
34829
34830
34831
34832
34833
34834
34835
34836
34837
34838
34839
34840
34841
34842
34843
34844
34845
34846
34847
34848
34849
34850
34851
34852
34853
34854
34855
34856
34857
34858
34859
34860
34861
34862
34863
34864
34865
34866
34867
34868
34869
34870
34871
34872
34873
34874
34875
34876
34877
34878
34879
34880
34881
34882
34883
34884
34885
34886
34887
34888
34889
34890
34891
34892
34893
34894
34895
34896
34897
34898
34899
34900
34901
34902
34903
34904
34905
34906
34907
34908
34909
34910
34911
34912
34913
34914
34915
34916
34917
34918
34919
34920
34921
34922
34923
34924
34925
34926
34927
34928
34929
34930
34931
34932
34933
34934
34935
34936
34937
34938
34939
34940
34941
34942
34943
34944
34945
34946
34947
34948
34949
34950
34951
34952
34953
34954
34955
34956
34957
34958
34959
34960
34961
34962
34963
34964
34965
34966
34967
34968
34969
34970
34971
34972
34973
34974
34975
34976
34977
34978
34979
34980
34981
34982
34983
34984
34985
34986
34987
34988
34989
34990
34991
34992
34993
34994
34995
34996
34997
34998
34999
35000
35001
35002
35003
35004
35005
35006
35007
35008
35009
35010
35011
35012
35013
35014
35015
35016
35017
35018
35019
35020
35021
35022
35023
35024
35025
35026
35027
35028
35029
35030
35031
35032
35033
35034
35035
35036
35037
35038
35039
35040
35041
35042
35043
35044
35045
35046
35047
35048
35049
35050
35051
35052
35053
35054
35055
35056
35057
35058
35059
35060
35061
35062
35063
35064
35065
35066
35067
35068
35069
35070
35071
35072
35073
35074
35075
35076
35077
35078
35079
35080
35081
35082
35083
35084
35085
35086
35087
35088
35089
35090
35091
35092
35093
35094
35095
35096
35097
35098
35099
35100
35101
35102
35103
35104
35105
35106
35107
35108
35109
35110
35111
35112
35113
35114
35115
35116
35117
35118
35119
35120
35121
35122
35123
35124
35125
35126
35127
35128
35129
35130
35131
35132
35133
35134
35135
35136
35137
35138
35139
35140
35141
35142
35143
35144
35145
35146
35147
35148
35149
35150
35151
35152
35153
35154
35155
35156
35157
35158
35159
35160
35161
35162
35163
35164
35165
35166
35167
35168
35169
35170
35171
35172
35173
35174
35175
35176
35177
35178
35179
35180
35181
35182
35183
35184
35185
35186
35187
35188
35189
35190
35191
35192
35193
35194
35195
35196
35197
35198
35199
35200
35201
35202
35203
35204
35205
35206
35207
35208
35209
35210
35211
35212
35213
35214
35215
35216
35217
35218
35219
35220
35221
35222
35223
35224
35225
35226
35227
35228
35229
35230
35231
35232
35233
35234
35235
35236
35237
35238
35239
35240
35241
35242
35243
35244
35245
35246
35247
35248
35249
35250
35251
35252
35253
35254
35255
35256
35257
35258
35259
35260
35261
35262
35263
35264
35265
35266
35267
35268
35269
35270
35271
35272
35273
35274
35275
35276
35277
35278
35279
35280
35281
35282
35283
35284
35285
35286
35287
35288
35289
35290
35291
35292
35293
35294
35295
35296
35297
35298
35299
35300
35301
35302
35303
35304
35305
35306
35307
35308
35309
35310
35311
35312
35313
35314
35315
35316
35317
35318
35319
35320
35321
35322
35323
35324
35325
35326
35327
35328
35329
35330
35331
35332
35333
35334
35335
35336
35337
35338
35339
35340
35341
35342
35343
35344
35345
35346
35347
35348
35349
35350
35351
35352
35353
35354
35355
35356
35357
35358
35359
35360
35361
35362
35363
35364
35365
35366
35367
35368
35369
35370
35371
35372
35373
35374
35375
35376
35377
35378
35379
35380
35381
35382
35383
35384
35385
35386
35387
35388
35389
35390
35391
35392
35393
35394
35395
35396
35397
35398
35399
35400
35401
35402
35403
35404
35405
35406
35407
35408
35409
35410
35411
35412
35413
35414
35415
35416
35417
35418
35419
35420
35421
35422
35423
35424
35425
35426
35427
35428
35429
35430
35431
35432
35433
35434
35435
35436
35437
35438
35439
35440
35441
35442
35443
35444
35445
35446
35447
35448
35449
35450
35451
35452
35453
35454
35455
35456
35457
35458
35459
35460
35461
35462
35463
35464
35465
35466
35467
35468
35469
35470
35471
35472
35473
35474
35475
35476
35477
35478
35479
35480
35481
35482
35483
35484
35485
35486
35487
35488
35489
35490
35491
35492
35493
35494
35495
35496
35497
35498
35499
35500
35501
35502
35503
35504
35505
35506
35507
35508
35509
35510
35511
35512
35513
35514
35515
35516
35517
35518
35519
35520
35521
35522
35523
35524
35525
35526
35527
35528
35529
35530
35531
35532
35533
35534
35535
35536
35537
35538
35539
35540
35541
35542
35543
35544
35545
35546
35547
35548
35549
35550
35551
35552
35553
35554
35555
35556
35557
35558
35559
35560
35561
35562
35563
35564
35565
35566
35567
35568
35569
35570
35571
35572
35573
35574
35575
35576
35577
35578
35579
35580
35581
35582
35583
35584
35585
35586
35587
35588
35589
35590
35591
35592
35593
35594
35595
35596
35597
35598
35599
35600
35601
35602
35603
35604
35605
35606
35607
35608
35609
35610
35611
35612
35613
35614
35615
35616
35617
35618
35619
35620
35621
35622
35623
35624
35625
35626
35627
35628
35629
35630
35631
35632
35633
35634
35635
35636
35637
35638
35639
35640
35641
35642
35643
35644
35645
35646
35647
35648
35649
35650
35651
35652
35653
35654
35655
35656
35657
35658
35659
35660
35661
35662
35663
35664
35665
35666
35667
35668
35669
35670
35671
35672
35673
35674
35675
35676
35677
35678
35679
35680
35681
35682
35683
35684
35685
35686
35687
35688
35689
35690
35691
35692
35693
35694
35695
35696
35697
35698
35699
35700
35701
35702
35703
35704
35705
35706
35707
35708
35709
35710
35711
35712
35713
35714
35715
35716
35717
35718
35719
35720
35721
35722
35723
35724
35725
35726
35727
35728
35729
35730
35731
35732
35733
35734
35735
35736
35737
35738
35739
35740
35741
35742
35743
35744
35745
35746
35747
35748
35749
35750
35751
35752
35753
35754
35755
35756
35757
35758
35759
35760
35761
35762
35763
35764
35765
35766
35767
35768
35769
35770
35771
35772
35773
35774
35775
35776
35777
35778
35779
35780
35781
35782
35783
35784
35785
35786
35787
35788
35789
35790
35791
35792
35793
35794
35795
35796
35797
35798
35799
35800
35801
35802
35803
35804
35805
35806
35807
35808
35809
35810
35811
35812
35813
35814
35815
35816
35817
35818
35819
35820
35821
35822
35823
35824
35825
35826
35827
35828
35829
35830
35831
35832
35833
35834
35835
35836
35837
35838
35839
35840
35841
35842
35843
35844
35845
35846
35847
35848
35849
35850
35851
35852
35853
35854
35855
35856
35857
35858
35859
35860
35861
35862
35863
35864
35865
35866
35867
35868
35869
35870
35871
35872
35873
35874
35875
35876
35877
35878
35879
35880
35881
35882
35883
35884
35885
35886
35887
35888
35889
35890
35891
35892
35893
35894
35895
35896
35897
35898
35899
35900
35901
35902
35903
35904
35905
35906
35907
35908
35909
35910
35911
35912
35913
35914
35915
35916
35917
35918
35919
35920
35921
35922
35923
35924
35925
35926
35927
35928
35929
35930
35931
35932
35933
35934
35935
35936
35937
35938
35939
35940
35941
35942
35943
35944
35945
35946
35947
35948
35949
35950
35951
35952
35953
35954
35955
35956
35957
35958
35959
35960
35961
35962
35963
35964
35965
35966
35967
35968
35969
35970
35971
35972
35973
35974
35975
35976
35977
35978
35979
35980
35981
35982
35983
35984
35985
35986
35987
35988
35989
35990
35991
35992
35993
35994
35995
35996
35997
35998
35999
36000
36001
36002
36003
36004
36005
36006
36007
36008
36009
36010
36011
36012
36013
36014
36015
36016
36017
36018
36019
36020
36021
36022
36023
36024
36025
36026
36027
36028
36029
36030
36031
36032
36033
36034
36035
36036
36037
36038
36039
36040
36041
36042
36043
36044
36045
36046
36047
36048
36049
36050
36051
36052
36053
36054
36055
36056
36057
36058
36059
36060
36061
36062
36063
36064
36065
36066
36067
36068
36069
36070
36071
36072
36073
36074
36075
36076
36077
36078
36079
36080
36081
36082
36083
36084
36085
36086
36087
36088
36089
36090
36091
36092
36093
36094
36095
36096
36097
36098
36099
36100
36101
36102
36103
36104
36105
36106
36107
36108
36109
36110
36111
36112
36113
36114
36115
36116
36117
36118
36119
36120
36121
36122
36123
36124
36125
36126
36127
36128
36129
36130
36131
36132
36133
36134
36135
36136
36137
36138
36139
36140
36141
36142
36143
36144
36145
36146
36147
36148
36149
36150
36151
36152
36153
36154
36155
36156
36157
36158
36159
36160
36161
36162
36163
36164
36165
36166
36167
36168
36169
36170
36171
36172
36173
36174
36175
36176
36177
36178
36179
36180
36181
36182
36183
36184
36185
36186
36187
36188
36189
36190
36191
36192
36193
36194
36195
36196
36197
36198
36199
36200
36201
36202
36203
36204
36205
36206
36207
36208
36209
36210
36211
36212
36213
36214
36215
36216
36217
36218
36219
36220
36221
36222
36223
36224
36225
36226
36227
36228
36229
36230
36231
36232
36233
36234
36235
36236
36237
36238
36239
36240
36241
36242
36243
36244
36245
36246
36247
36248
36249
36250
36251
36252
36253
36254
36255
36256
36257
36258
36259
36260
36261
36262
36263
36264
36265
36266
36267
36268
36269
36270
36271
36272
36273
36274
36275
36276
36277
36278
36279
36280
36281
36282
36283
36284
36285
36286
36287
36288
36289
36290
36291
36292
36293
36294
36295
36296
36297
36298
36299
36300
36301
36302
36303
36304
36305
36306
36307
36308
36309
36310
36311
36312
36313
36314
36315
36316
36317
36318
36319
36320
36321
36322
36323
36324
36325
36326
36327
36328
36329
36330
36331
36332
36333
36334
36335
36336
36337
36338
36339
36340
36341
36342
36343
36344
36345
36346
36347
36348
36349
36350
36351
36352
36353
36354
36355
36356
36357
36358
36359
36360
36361
36362
36363
36364
36365
36366
36367
36368
36369
36370
36371
36372
36373
36374
36375
36376
36377
36378
36379
36380
36381
36382
36383
36384
36385
36386
36387
36388
36389
36390
36391
36392
36393
36394
36395
36396
36397
36398
36399
36400
36401
36402
36403
36404
36405
36406
36407
36408
36409
36410
36411
36412
36413
36414
36415
36416
36417
36418
36419
36420
36421
36422
36423
36424
36425
36426
36427
36428
36429
36430
36431
36432
36433
36434
36435
36436
36437
36438
36439
36440
36441
36442
36443
36444
36445
36446
36447
36448
36449
36450
36451
36452
36453
36454
36455
36456
36457
36458
36459
36460
36461
36462
36463
36464
36465
36466
36467
36468
36469
36470
36471
36472
36473
36474
36475
36476
36477
36478
36479
36480
36481
36482
36483
36484
36485
36486
36487
36488
36489
36490
36491
36492
36493
36494
36495
36496
36497
36498
36499
36500
36501
36502
36503
36504
36505
36506
36507
36508
36509
36510
36511
36512
36513
36514
36515
36516
36517
36518
36519
36520
36521
36522
36523
36524
36525
36526
36527
36528
36529
36530
36531
36532
36533
36534
36535
36536
36537
36538
36539
36540
36541
36542
36543
36544
36545
36546
36547
36548
36549
36550
36551
36552
36553
36554
36555
36556
36557
36558
36559
36560
36561
36562
36563
36564
36565
36566
36567
36568
36569
36570
36571
36572
36573
36574
36575
36576
36577
36578
36579
36580
36581
36582
36583
36584
36585
36586
36587
36588
36589
36590
36591
36592
36593
36594
36595
36596
36597
36598
36599
36600
36601
36602
36603
36604
36605
36606
36607
36608
36609
36610
36611
36612
36613
36614
36615
36616
36617
36618
36619
36620
36621
36622
36623
36624
36625
36626
36627
36628
36629
36630
36631
36632
36633
36634
36635
36636
36637
36638
36639
36640
36641
36642
36643
36644
36645
36646
36647
36648
36649
36650
36651
36652
36653
36654
36655
36656
36657
36658
36659
36660
36661
36662
36663
36664
36665
36666
36667
36668
36669
36670
36671
36672
36673
36674
36675
36676
36677
36678
36679
36680
36681
36682
36683
36684
36685
36686
36687
36688
36689
36690
36691
36692
36693
36694
36695
36696
36697
36698
36699
36700
36701
36702
36703
36704
36705
36706
36707
36708
36709
36710
36711
36712
36713
36714
36715
36716
36717
36718
36719
36720
36721
36722
36723
36724
36725
36726
36727
36728
36729
36730
36731
36732
36733
36734
36735
36736
36737
36738
36739
36740
36741
36742
36743
36744
36745
36746
36747
36748
36749
36750
36751
36752
36753
36754
36755
36756
36757
36758
36759
36760
36761
36762
36763
36764
36765
36766
36767
36768
36769
36770
36771
36772
36773
36774
36775
36776
36777
36778
36779
36780
36781
36782
36783
36784
36785
36786
36787
36788
36789
36790
36791
36792
36793
36794
36795
36796
36797
36798
36799
36800
36801
36802
36803
36804
36805
36806
36807
36808
36809
36810
36811
36812
36813
36814
36815
36816
36817
36818
36819
36820
36821
36822
36823
36824
36825
36826
36827
36828
36829
36830
36831
36832
36833
36834
36835
36836
36837
36838
36839
36840
36841
36842
36843
36844
36845
36846
36847
36848
36849
36850
36851
36852
36853
36854
36855
36856
36857
36858
36859
36860
36861
36862
36863
36864
36865
36866
36867
36868
36869
36870
36871
36872
36873
36874
36875
36876
36877
36878
36879
36880
36881
36882
36883
36884
36885
36886
36887
36888
36889
36890
36891
36892
36893
36894
36895
36896
36897
36898
36899
36900
36901
36902
36903
36904
36905
36906
36907
36908
36909
36910
36911
36912
36913
36914
36915
36916
36917
36918
36919
36920
36921
36922
36923
36924
36925
36926
36927
36928
36929
36930
36931
36932
36933
36934
36935
36936
36937
36938
36939
36940
36941
36942
36943
36944
36945
36946
36947
36948
36949
36950
36951
36952
36953
36954
36955
36956
36957
36958
36959
36960
36961
36962
36963
36964
36965
36966
36967
36968
36969
36970
36971
36972
36973
36974
36975
36976
36977
36978
36979
36980
36981
36982
36983
36984
36985
36986
36987
36988
36989
36990
36991
36992
36993
36994
36995
36996
36997
36998
36999
37000
37001
37002
37003
37004
37005
37006
37007
37008
37009
37010
37011
37012
37013
37014
37015
37016
37017
37018
37019
37020
37021
37022
37023
37024
37025
37026
37027
37028
37029
37030
37031
37032
37033
37034
37035
37036
37037
37038
37039
37040
37041
37042
37043
37044
37045
37046
37047
37048
37049
37050
37051
37052
37053
37054
37055
37056
37057
37058
37059
37060
37061
37062
37063
37064
37065
37066
37067
37068
37069
37070
37071
37072
37073
37074
37075
37076
37077
37078
37079
37080
37081
37082
37083
37084
37085
37086
37087
37088
37089
37090
37091
37092
37093
37094
37095
37096
37097
37098
37099
37100
37101
37102
37103
37104
37105
37106
37107
37108
37109
37110
37111
37112
37113
37114
37115
37116
37117
37118
37119
37120
37121
37122
37123
37124
37125
37126
37127
37128
37129
37130
37131
37132
37133
37134
37135
37136
37137
37138
37139
37140
37141
37142
37143
37144
37145
37146
37147
37148
37149
37150
37151
37152
37153
37154
37155
37156
37157
37158
37159
37160
37161
37162
37163
37164
37165
37166
37167
37168
37169
37170
37171
37172
37173
37174
37175
37176
37177
37178
37179
37180
37181
37182
37183
37184
37185
37186
37187
37188
37189
37190
37191
37192
37193
37194
37195
37196
37197
37198
37199
37200
37201
37202
37203
37204
37205
37206
37207
37208
37209
37210
37211
37212
37213
37214
37215
37216
37217
37218
37219
37220
37221
37222
37223
37224
37225
37226
37227
37228
37229
37230
37231
37232
37233
37234
37235
37236
37237
37238
37239
37240
37241
37242
37243
37244
37245
37246
37247
37248
37249
37250
37251
37252
37253
37254
37255
37256
37257
37258
37259
37260
37261
37262
37263
37264
37265
37266
37267
37268
37269
37270
37271
37272
37273
37274
37275
37276
37277
37278
37279
37280
37281
37282
37283
37284
37285
37286
37287
37288
37289
37290
37291
37292
37293
37294
37295
37296
37297
37298
37299
37300
37301
37302
37303
37304
37305
37306
37307
37308
37309
37310
37311
37312
37313
37314
37315
37316
37317
37318
37319
37320
37321
37322
37323
37324
37325
37326
37327
37328
37329
37330
37331
37332
37333
37334
37335
37336
37337
37338
37339
37340
37341
37342
37343
37344
37345
37346
37347
37348
37349
37350
37351
37352
37353
37354
37355
37356
37357
37358
37359
37360
37361
37362
37363
37364
37365
37366
37367
37368
37369
37370
37371
37372
37373
37374
37375
37376
37377
37378
37379
37380
37381
37382
37383
37384
37385
37386
37387
37388
37389
37390
37391
37392
37393
37394
37395
37396
37397
37398
37399
37400
37401
37402
37403
37404
37405
37406
37407
37408
37409
37410
37411
37412
37413
37414
37415
37416
37417
37418
37419
37420
37421
37422
37423
37424
37425
37426
37427
37428
37429
37430
37431
37432
37433
37434
37435
37436
37437
37438
37439
37440
37441
37442
37443
37444
37445
37446
37447
37448
37449
37450
37451
37452
37453
37454
37455
37456
37457
37458
37459
37460
37461
37462
37463
37464
37465
37466
37467
37468
37469
37470
37471
37472
37473
37474
37475
37476
37477
37478
37479
37480
37481
37482
37483
37484
37485
37486
37487
37488
37489
37490
37491
37492
37493
37494
37495
37496
37497
37498
37499
37500
37501
37502
37503
37504
37505
37506
37507
37508
37509
37510
37511
37512
37513
37514
37515
37516
37517
37518
37519
37520
37521
37522
37523
37524
37525
37526
37527
37528
37529
37530
37531
37532
37533
37534
37535
37536
37537
37538
37539
37540
37541
37542
37543
37544
37545
37546
37547
37548
37549
37550
37551
37552
37553
37554
37555
37556
37557
37558
37559
37560
37561
37562
37563
37564
37565
37566
37567
37568
37569
37570
37571
37572
37573
37574
37575
37576
37577
37578
37579
37580
37581
37582
37583
37584
37585
37586
37587
37588
37589
37590
37591
37592
37593
37594
37595
37596
37597
37598
37599
37600
37601
37602
37603
37604
37605
37606
37607
37608
37609
37610
37611
37612
37613
37614
37615
37616
37617
37618
37619
37620
37621
37622
37623
37624
37625
37626
37627
37628
37629
37630
37631
37632
37633
37634
37635
37636
37637
37638
37639
37640
37641
37642
37643
37644
37645
37646
37647
37648
37649
37650
37651
37652
37653
37654
37655
37656
37657
37658
37659
37660
37661
37662
37663
37664
37665
37666
37667
37668
37669
37670
37671
37672
37673
37674
37675
37676
37677
37678
37679
37680
37681
37682
37683
37684
37685
37686
37687
37688
37689
37690
37691
37692
37693
37694
37695
37696
37697
37698
37699
37700
37701
37702
37703
37704
37705
37706
37707
37708
37709
37710
37711
37712
37713
37714
37715
37716
37717
37718
37719
37720
37721
37722
37723
37724
37725
37726
37727
37728
37729
37730
37731
37732
37733
37734
37735
37736
37737
37738
37739
37740
37741
37742
37743
37744
37745
37746
37747
37748
37749
37750
37751
37752
37753
37754
37755
37756
37757
37758
37759
37760
37761
37762
37763
37764
37765
37766
37767
37768
37769
37770
37771
37772
37773
37774
37775
37776
37777
37778
37779
37780
37781
37782
37783
37784
37785
37786
37787
37788
37789
37790
37791
37792
37793
37794
37795
37796
37797
37798
37799
37800
37801
37802
37803
37804
37805
37806
37807
37808
37809
37810
37811
37812
37813
37814
37815
37816
37817
37818
37819
37820
37821
37822
37823
37824
37825
37826
37827
37828
37829
37830
37831
37832
37833
37834
37835
37836
37837
37838
37839
37840
37841
37842
37843
37844
37845
37846
37847
37848
37849
37850
37851
37852
37853
37854
37855
37856
37857
37858
37859
37860
37861
37862
37863
37864
37865
37866
37867
37868
37869
37870
37871
37872
37873
37874
37875
37876
37877
37878
37879
37880
37881
37882
37883
37884
37885
37886
37887
37888
37889
37890
37891
37892
37893
37894
37895
37896
37897
37898
37899
37900
37901
37902
37903
37904
37905
37906
37907
37908
37909
37910
37911
37912
37913
37914
37915
37916
37917
37918
37919
37920
37921
37922
37923
37924
37925
37926
37927
37928
37929
37930
37931
37932
37933
37934
37935
37936
37937
37938
37939
37940
37941
37942
37943
37944
37945
37946
37947
37948
37949
37950
37951
37952
37953
37954
37955
37956
37957
37958
37959
37960
37961
37962
37963
37964
37965
37966
37967
37968
37969
37970
37971
37972
37973
37974
37975
37976
37977
37978
37979
37980
37981
37982
37983
37984
37985
37986
37987
37988
37989
37990
37991
37992
37993
37994
37995
37996
37997
37998
37999
38000
38001
38002
38003
38004
38005
38006
38007
38008
38009
38010
38011
38012
38013
38014
38015
38016
38017
38018
38019
38020
38021
38022
38023
38024
38025
38026
38027
38028
38029
38030
38031
38032
38033
38034
38035
38036
38037
38038
38039
38040
38041
38042
38043
38044
38045
38046
38047
38048
38049
38050
38051
38052
38053
38054
38055
38056
38057
38058
38059
38060
38061
38062
38063
38064
38065
38066
38067
38068
38069
38070
38071
38072
38073
38074
38075
38076
38077
38078
38079
38080
38081
38082
38083
38084
38085
38086
38087
38088
38089
38090
38091
38092
38093
38094
38095
38096
38097
38098
38099
38100
38101
38102
38103
38104
38105
38106
38107
38108
38109
38110
38111
38112
38113
38114
38115
38116
38117
38118
38119
38120
38121
38122
38123
38124
38125
38126
38127
38128
38129
38130
38131
38132
38133
38134
38135
38136
38137
38138
38139
38140
38141
38142
38143
38144
38145
38146
38147
38148
38149
38150
38151
38152
38153
38154
38155
38156
38157
38158
38159
38160
38161
38162
38163
38164
38165
38166
38167
38168
38169
38170
38171
38172
38173
38174
38175
38176
38177
38178
38179
38180
38181
38182
38183
38184
38185
38186
38187
38188
38189
38190
38191
38192
38193
38194
38195
38196
38197
38198
38199
38200
38201
38202
38203
38204
38205
38206
38207
38208
38209
38210
38211
38212
38213
38214
38215
38216
38217
38218
38219
38220
38221
38222
38223
38224
38225
38226
38227
38228
38229
38230
38231
38232
38233
38234
38235
38236
38237
38238
38239
38240
38241
38242
38243
38244
38245
38246
38247
38248
38249
38250
38251
38252
38253
38254
38255
38256
38257
38258
38259
38260
38261
38262
38263
38264
38265
38266
38267
38268
38269
38270
38271
38272
38273
38274
38275
38276
38277
38278
38279
38280
38281
38282
38283
38284
38285
38286
38287
38288
38289
38290
38291
38292
38293
38294
38295
38296
38297
38298
38299
38300
38301
38302
38303
38304
38305
38306
38307
38308
38309
38310
38311
38312
38313
38314
38315
38316
38317
38318
38319
38320
38321
38322
38323
38324
38325
38326
38327
38328
38329
38330
38331
38332
38333
38334
38335
38336
38337
38338
38339
38340
38341
38342
38343
38344
38345
38346
38347
38348
38349
38350
38351
38352
38353
38354
38355
38356
38357
38358
38359
38360
38361
38362
38363
38364
38365
38366
38367
38368
38369
38370
38371
38372
38373
38374
38375
38376
38377
38378
38379
38380
38381
38382
38383
38384
38385
38386
38387
38388
38389
38390
38391
38392
38393
38394
38395
38396
38397
38398
38399
38400
38401
38402
38403
38404
38405
38406
38407
38408
38409
38410
38411
38412
38413
38414
38415
38416
38417
38418
38419
38420
38421
38422
38423
38424
38425
38426
38427
38428
38429
38430
38431
38432
38433
38434
38435
38436
38437
38438
38439
38440
38441
38442
38443
38444
38445
38446
38447
38448
38449
38450
38451
38452
38453
38454
38455
38456
38457
38458
38459
38460
38461
38462
38463
38464
38465
38466
38467
38468
38469
38470
38471
38472
38473
38474
38475
38476
38477
38478
38479
38480
38481
38482
38483
38484
38485
38486
38487
38488
38489
38490
38491
38492
38493
38494
38495
38496
38497
38498
38499
38500
38501
38502
38503
38504
38505
38506
38507
38508
38509
38510
38511
38512
38513
38514
38515
38516
38517
38518
38519
38520
38521
38522
38523
38524
38525
38526
38527
38528
38529
38530
38531
38532
38533
38534
38535
38536
38537
38538
38539
38540
38541
38542
38543
38544
38545
38546
38547
38548
38549
38550
38551
38552
38553
38554
38555
38556
38557
38558
38559
38560
38561
38562
38563
38564
38565
38566
38567
38568
38569
38570
38571
38572
38573
38574
38575
38576
38577
38578
38579
38580
38581
38582
38583
38584
38585
38586
38587
38588
38589
38590
38591
38592
38593
38594
38595
38596
38597
38598
38599
38600
38601
38602
38603
38604
38605
38606
38607
38608
38609
38610
38611
38612
38613
38614
38615
38616
38617
38618
38619
38620
38621
38622
38623
38624
38625
38626
38627
38628
38629
38630
38631
38632
38633
38634
38635
38636
38637
38638
38639
38640
38641
38642
38643
38644
38645
38646
38647
38648
38649
38650
38651
38652
38653
38654
38655
38656
38657
38658
38659
38660
38661
38662
38663
38664
38665
38666
38667
38668
38669
38670
38671
38672
38673
38674
38675
38676
38677
38678
38679
38680
38681
38682
38683
38684
38685
38686
38687
38688
38689
38690
38691
38692
38693
38694
38695
38696
38697
38698
38699
38700
38701
38702
38703
38704
38705
38706
38707
38708
38709
38710
38711
38712
38713
38714
38715
38716
38717
38718
38719
38720
38721
38722
38723
38724
38725
38726
38727
38728
38729
38730
38731
38732
38733
38734
38735
38736
38737
38738
38739
38740
38741
38742
38743
38744
38745
38746
38747
38748
38749
38750
38751
38752
38753
38754
38755
38756
38757
38758
38759
38760
38761
38762
38763
38764
38765
38766
38767
38768
38769
38770
38771
38772
38773
38774
38775
38776
38777
38778
38779
38780
38781
38782
38783
38784
38785
38786
38787
38788
38789
38790
38791
38792
38793
38794
38795
38796
38797
38798
38799
38800
38801
38802
38803
38804
38805
38806
38807
38808
38809
38810
38811
38812
38813
38814
38815
38816
38817
38818
38819
38820
38821
38822
38823
38824
38825
38826
38827
38828
38829
38830
38831
38832
38833
38834
38835
38836
38837
38838
38839
38840
38841
38842
38843
38844
38845
38846
38847
38848
38849
38850
38851
38852
38853
38854
38855
38856
38857
38858
38859
38860
38861
38862
38863
38864
38865
38866
38867
38868
38869
38870
38871
38872
38873
38874
38875
38876
38877
38878
38879
38880
38881
38882
38883
38884
38885
38886
38887
38888
38889
38890
38891
38892
38893
38894
38895
38896
38897
38898
38899
38900
38901
38902
38903
38904
38905
38906
38907
38908
38909
38910
38911
38912
38913
38914
38915
38916
38917
38918
38919
38920
38921
38922
38923
38924
38925
38926
38927
38928
38929
38930
38931
38932
38933
38934
38935
38936
38937
38938
38939
38940
38941
38942
38943
38944
38945
38946
38947
38948
38949
38950
38951
38952
38953
38954
38955
38956
38957
38958
38959
38960
38961
38962
38963
38964
38965
38966
38967
38968
38969
38970
38971
38972
38973
38974
38975
38976
38977
38978
38979
38980
38981
38982
38983
38984
38985
38986
38987
38988
38989
38990
38991
38992
38993
38994
38995
38996
38997
38998
38999
39000
39001
39002
39003
39004
39005
39006
39007
39008
39009
39010
39011
39012
39013
39014
39015
39016
39017
39018
39019
39020
39021
39022
39023
39024
39025
39026
39027
39028
39029
39030
39031
39032
39033
39034
39035
39036
39037
39038
39039
39040
39041
39042
39043
39044
39045
39046
39047
39048
39049
39050
39051
39052
39053
39054
39055
39056
39057
39058
39059
39060
39061
39062
39063
39064
39065
39066
39067
39068
39069
39070
39071
39072
39073
39074
39075
39076
39077
39078
39079
39080
39081
39082
39083
39084
39085
39086
39087
39088
39089
39090
39091
39092
39093
39094
39095
39096
39097
39098
39099
39100
39101
39102
39103
39104
39105
39106
39107
39108
39109
39110
39111
39112
39113
39114
39115
39116
39117
39118
39119
39120
39121
39122
39123
39124
39125
39126
39127
39128
39129
39130
39131
39132
39133
39134
39135
39136
39137
39138
39139
39140
39141
39142
39143
39144
39145
39146
39147
39148
39149
39150
39151
39152
39153
39154
39155
39156
39157
39158
39159
39160
39161
39162
39163
39164
39165
39166
39167
39168
39169
39170
39171
39172
39173
39174
39175
39176
39177
39178
39179
39180
39181
39182
39183
39184
39185
39186
39187
39188
39189
39190
39191
39192
39193
39194
39195
39196
39197
39198
39199
39200
39201
39202
39203
39204
39205
39206
39207
39208
39209
39210
39211
39212
39213
39214
39215
39216
39217
39218
39219
39220
39221
39222
39223
39224
39225
39226
39227
39228
39229
39230
39231
39232
39233
39234
39235
39236
39237
39238
39239
39240
39241
39242
39243
39244
39245
39246
39247
39248
39249
39250
39251
39252
39253
39254
39255
39256
39257
39258
39259
39260
39261
39262
39263
39264
39265
39266
39267
39268
39269
39270
39271
39272
39273
39274
39275
39276
39277
39278
39279
39280
39281
39282
39283
39284
39285
39286
39287
39288
39289
39290
39291
39292
39293
39294
39295
39296
39297
39298
39299
39300
39301
39302
39303
39304
39305
39306
39307
39308
39309
39310
39311
39312
39313
39314
39315
39316
39317
39318
39319
39320
39321
39322
39323
39324
39325
39326
39327
39328
39329
39330
39331
39332
39333
39334
39335
39336
39337
39338
39339
39340
39341
39342
39343
39344
39345
39346
39347
39348
39349
39350
39351
39352
39353
39354
39355
39356
39357
39358
39359
39360
39361
39362
39363
39364
39365
39366
39367
39368
39369
39370
39371
39372
39373
39374
39375
39376
39377
39378
39379
39380
39381
39382
39383
39384
39385
39386
39387
39388
39389
39390
39391
39392
39393
39394
39395
39396
39397
39398
39399
39400
39401
39402
39403
39404
39405
39406
39407
39408
39409
39410
39411
39412
39413
39414
39415
39416
39417
39418
39419
39420
39421
39422
39423
39424
39425
39426
39427
39428
39429
39430
39431
39432
39433
39434
39435
39436
39437
39438
39439
39440
39441
39442
39443
39444
39445
39446
39447
39448
39449
39450
39451
39452
39453
39454
39455
39456
39457
39458
39459
39460
39461
39462
39463
39464
39465
39466
39467
39468
39469
39470
39471
39472
39473
39474
39475
39476
39477
39478
39479
39480
39481
39482
39483
39484
39485
39486
39487
39488
39489
39490
39491
39492
39493
39494
39495
39496
39497
39498
39499
39500
39501
39502
39503
39504
39505
39506
39507
39508
39509
39510
39511
39512
39513
39514
39515
39516
39517
39518
39519
39520
39521
39522
39523
39524
39525
39526
39527
39528
39529
39530
39531
39532
39533
39534
39535
39536
39537
39538
39539
39540
39541
39542
39543
39544
39545
39546
39547
39548
39549
39550
39551
39552
39553
39554
39555
39556
39557
39558
39559
39560
39561
39562
39563
39564
39565
39566
39567
39568
39569
39570
39571
39572
39573
39574
39575
39576
39577
39578
39579
39580
39581
39582
39583
39584
39585
39586
39587
39588
39589
39590
39591
39592
39593
39594
39595
39596
39597
39598
39599
39600
39601
39602
39603
39604
39605
39606
39607
39608
39609
39610
39611
39612
39613
39614
39615
39616
39617
39618
39619
39620
39621
39622
39623
39624
39625
39626
39627
39628
39629
39630
39631
39632
39633
39634
39635
39636
39637
39638
39639
39640
39641
39642
39643
39644
39645
39646
39647
39648
39649
39650
39651
39652
39653
39654
39655
39656
39657
39658
39659
39660
39661
39662
39663
39664
39665
39666
39667
39668
39669
39670
39671
39672
39673
39674
39675
39676
39677
39678
39679
39680
39681
39682
39683
39684
39685
39686
39687
39688
39689
39690
39691
39692
39693
39694
39695
39696
39697
39698
39699
39700
39701
39702
39703
39704
39705
39706
39707
39708
39709
39710
39711
39712
39713
39714
39715
39716
39717
39718
39719
39720
39721
39722
39723
39724
39725
39726
39727
39728
39729
39730
39731
39732
39733
39734
39735
39736
39737
39738
39739
39740
39741
39742
39743
39744
39745
39746
39747
39748
39749
39750
39751
39752
39753
39754
39755
39756
39757
39758
39759
39760
39761
39762
39763
39764
39765
39766
39767
39768
39769
39770
39771
39772
39773
39774
39775
39776
39777
39778
39779
39780
39781
39782
39783
39784
39785
39786
39787
39788
39789
39790
39791
39792
39793
39794
39795
39796
39797
39798
39799
39800
39801
39802
39803
39804
39805
39806
39807
39808
39809
39810
39811
39812
39813
39814
39815
39816
39817
39818
39819
39820
39821
39822
39823
39824
39825
39826
39827
39828
39829
39830
39831
39832
39833
39834
39835
39836
39837
39838
39839
39840
39841
39842
39843
39844
39845
39846
39847
39848
39849
39850
39851
39852
39853
39854
39855
39856
39857
39858
39859
39860
39861
39862
39863
39864
39865
39866
39867
39868
39869
39870
39871
39872
39873
39874
39875
39876
39877
39878
39879
39880
39881
39882
39883
39884
39885
39886
39887
39888
39889
39890
39891
39892
39893
39894
39895
39896
39897
39898
39899
39900
39901
39902
39903
39904
39905
39906
39907
39908
39909
39910
39911
39912
39913
39914
39915
39916
39917
39918
39919
39920
39921
39922
39923
39924
39925
39926
39927
39928
39929
39930
39931
39932
39933
39934
39935
39936
39937
39938
39939
39940
39941
39942
39943
39944
39945
39946
39947
39948
39949
39950
39951
39952
39953
39954
39955
39956
39957
39958
39959
39960
39961
39962
39963
39964
39965
39966
39967
39968
39969
39970
39971
39972
39973
39974
39975
39976
39977
39978
39979
39980
39981
39982
39983
39984
39985
39986
39987
39988
39989
39990
39991
39992
39993
39994
39995
39996
39997
39998
39999
40000
40001
40002
40003
40004
40005
40006
40007
40008
40009
40010
40011
40012
40013
40014
40015
40016
40017
40018
40019
40020
40021
40022
40023
40024
40025
40026
40027
40028
40029
40030
40031
40032
40033
40034
40035
40036
40037
40038
40039
40040
40041
40042
40043
40044
40045
40046
40047
40048
40049
40050
40051
40052
40053
40054
40055
40056
40057
40058
40059
40060
40061
40062
40063
40064
40065
40066
40067
40068
40069
40070
40071
40072
40073
40074
40075
40076
40077
40078
40079
40080
40081
40082
40083
40084
40085
40086
40087
40088
40089
40090
40091
40092
40093
40094
40095
40096
40097
40098
40099
40100
40101
40102
40103
40104
40105
40106
40107
40108
40109
40110
40111
40112
40113
40114
40115
40116
40117
40118
40119
40120
40121
40122
40123
40124
40125
40126
40127
40128
40129
40130
40131
40132
40133
40134
40135
40136
40137
40138
40139
40140
40141
40142
40143
40144
40145
40146
40147
40148
40149
40150
40151
40152
40153
40154
40155
40156
40157
40158
40159
40160
40161
40162
40163
40164
40165
40166
40167
40168
40169
40170
40171
40172
40173
40174
40175
40176
40177
40178
40179
40180
40181
40182
40183
40184
40185
40186
40187
40188
40189
40190
40191
40192
40193
40194
40195
40196
40197
40198
40199
40200
40201
40202
40203
40204
40205
40206
40207
40208
40209
40210
40211
40212
40213
40214
40215
40216
40217
40218
40219
40220
40221
40222
40223
40224
40225
40226
40227
40228
40229
40230
40231
40232
40233
40234
40235
40236
40237
40238
40239
40240
40241
40242
40243
40244
40245
40246
40247
40248
40249
40250
40251
40252
40253
40254
40255
40256
40257
40258
40259
40260
40261
40262
40263
40264
40265
40266
40267
40268
40269
40270
40271
40272
40273
40274
40275
40276
40277
40278
40279
40280
40281
40282
40283
40284
40285
40286
40287
40288
40289
40290
40291
40292
40293
40294
40295
40296
40297
40298
40299
40300
40301
40302
40303
40304
40305
40306
40307
40308
40309
40310
40311
40312
40313
40314
40315
40316
40317
40318
40319
40320
40321
40322
40323
40324
40325
40326
40327
40328
40329
40330
40331
40332
40333
40334
40335
40336
40337
40338
40339
40340
40341
40342
40343
40344
40345
40346
40347
40348
40349
40350
40351
40352
40353
40354
40355
40356
40357
40358
40359
40360
40361
40362
40363
40364
40365
40366
40367
40368
40369
40370
40371
40372
40373
40374
40375
40376
40377
40378
40379
40380
40381
40382
40383
40384
40385
40386
40387
40388
40389
40390
40391
40392
40393
40394
40395
40396
40397
40398
40399
40400
40401
40402
40403
40404
40405
40406
40407
40408
40409
40410
40411
40412
40413
40414
40415
40416
40417
40418
40419
40420
40421
40422
40423
40424
40425
40426
40427
40428
40429
40430
40431
40432
40433
40434
40435
40436
40437
40438
40439
40440
40441
40442
40443
40444
40445
40446
40447
40448
40449
40450
40451
40452
40453
40454
40455
40456
40457
40458
40459
40460
40461
40462
40463
40464
40465
40466
40467
40468
40469
40470
40471
40472
40473
40474
40475
40476
40477
40478
40479
40480
40481
40482
40483
40484
40485
40486
40487
40488
40489
40490
40491
40492
40493
40494
40495
40496
40497
40498
40499
40500
40501
40502
40503
40504
40505
40506
40507
40508
40509
40510
40511
40512
40513
40514
40515
40516
40517
40518
40519
40520
40521
40522
40523
40524
40525
40526
40527
40528
40529
40530
40531
40532
40533
40534
40535
40536
40537
40538
40539
40540
40541
40542
40543
40544
40545
40546
40547
40548
40549
40550
40551
40552
40553
40554
40555
40556
40557
40558
40559
40560
40561
40562
40563
40564
40565
40566
40567
40568
40569
40570
40571
40572
40573
40574
40575
40576
40577
40578
40579
40580
40581
40582
40583
40584
40585
40586
40587
40588
40589
40590
40591
40592
40593
40594
40595
40596
40597
40598
40599
40600
40601
40602
40603
40604
40605
40606
40607
40608
40609
40610
40611
40612
40613
40614
40615
40616
40617
40618
40619
40620
40621
40622
40623
40624
40625
40626
40627
40628
40629
40630
40631
40632
40633
40634
40635
40636
40637
40638
40639
40640
40641
40642
40643
40644
40645
40646
40647
40648
40649
40650
40651
40652
40653
40654
40655
40656
40657
40658
40659
40660
40661
40662
40663
40664
40665
40666
40667
40668
40669
40670
40671
40672
40673
40674
40675
40676
40677
40678
40679
40680
40681
40682
40683
40684
40685
40686
40687
40688
40689
40690
40691
40692
40693
40694
40695
40696
40697
40698
40699
40700
40701
40702
40703
40704
40705
40706
40707
40708
40709
40710
40711
40712
40713
40714
40715
40716
40717
40718
40719
40720
40721
40722
40723
40724
40725
40726
40727
40728
40729
40730
40731
40732
40733
40734
40735
40736
40737
40738
40739
40740
40741
40742
40743
40744
40745
40746
40747
40748
40749
40750
40751
40752
40753
40754
40755
40756
40757
40758
40759
40760
40761
40762
40763
40764
40765
40766
40767
40768
40769
40770
40771
40772
40773
40774
40775
40776
40777
40778
40779
40780
40781
40782
40783
40784
40785
40786
40787
40788
40789
40790
40791
40792
40793
40794
40795
40796
40797
40798
40799
40800
40801
40802
40803
40804
40805
40806
40807
40808
40809
40810
40811
40812
40813
40814
40815
40816
40817
40818
40819
40820
40821
40822
40823
40824
40825
40826
40827
40828
40829
40830
40831
40832
40833
40834
40835
40836
40837
40838
40839
40840
40841
40842
40843
40844
40845
40846
40847
40848
40849
40850
40851
40852
40853
40854
40855
40856
40857
40858
40859
40860
40861
40862
40863
40864
40865
40866
40867
40868
40869
40870
40871
40872
40873
40874
40875
40876
40877
40878
40879
40880
40881
40882
40883
40884
40885
40886
40887
40888
40889
40890
40891
40892
40893
40894
40895
40896
40897
40898
40899
40900
40901
40902
40903
40904
40905
40906
40907
40908
40909
40910
40911
40912
40913
40914
40915
40916
40917
40918
40919
40920
40921
40922
40923
40924
40925
40926
40927
40928
40929
40930
40931
40932
40933
40934
40935
40936
40937
40938
40939
40940
40941
40942
40943
40944
40945
40946
40947
40948
40949
40950
40951
40952
40953
40954
40955
40956
40957
40958
40959
40960
40961
40962
40963
40964
40965
40966
40967
40968
40969
40970
40971
40972
40973
40974
40975
40976
40977
40978
40979
40980
40981
40982
40983
40984
40985
40986
40987
40988
40989
40990
40991
40992
40993
40994
40995
40996
40997
40998
40999
41000
41001
41002
41003
41004
41005
41006
41007
41008
41009
41010
41011
41012
41013
41014
41015
41016
41017
41018
41019
41020
41021
41022
41023
41024
41025
41026
41027
41028
41029
41030
41031
41032
41033
41034
41035
41036
41037
41038
41039
41040
41041
41042
41043
41044
41045
41046
41047
41048
41049
41050
41051
41052
41053
41054
41055
41056
41057
41058
41059
41060
41061
41062
41063
41064
41065
41066
41067
41068
41069
41070
41071
41072
41073
41074
41075
41076
41077
41078
41079
41080
41081
41082
41083
41084
41085
41086
41087
41088
41089
41090
41091
41092
41093
41094
41095
41096
41097
41098
41099
41100
41101
41102
41103
41104
41105
41106
41107
41108
41109
41110
41111
41112
41113
41114
41115
41116
41117
41118
41119
41120
41121
41122
41123
41124
41125
41126
41127
41128
41129
41130
41131
41132
41133
41134
41135
41136
41137
41138
41139
41140
41141
41142
41143
41144
41145
41146
41147
41148
41149
41150
41151
41152
41153
41154
41155
41156
41157
41158
41159
41160
41161
41162
41163
41164
41165
41166
41167
41168
41169
41170
41171
41172
41173
41174
41175
41176
41177
41178
41179
41180
41181
41182
41183
41184
41185
41186
41187
41188
41189
41190
41191
41192
41193
41194
41195
41196
41197
41198
41199
41200
41201
41202
41203
41204
41205
41206
41207
41208
41209
41210
41211
41212
41213
41214
41215
41216
41217
41218
41219
41220
41221
41222
41223
41224
41225
41226
41227
41228
41229
41230
41231
41232
41233
41234
41235
41236
41237
41238
41239
41240
41241
41242
41243
41244
41245
41246
41247
41248
41249
41250
41251
41252
41253
41254
41255
41256
41257
41258
41259
41260
41261
41262
41263
41264
41265
41266
41267
41268
41269
41270
41271
41272
41273
41274
41275
41276
41277
41278
41279
41280
41281
41282
41283
41284
41285
41286
41287
41288
41289
41290
41291
41292
41293
41294
41295
41296
41297
41298
41299
41300
41301
41302
41303
41304
41305
41306
41307
41308
41309
41310
41311
41312
41313
41314
41315
41316
41317
41318
41319
41320
41321
41322
41323
41324
41325
41326
41327
41328
41329
41330
41331
41332
41333
41334
41335
41336
41337
41338
41339
41340
41341
41342
41343
41344
41345
41346
41347
41348
41349
41350
41351
41352
41353
41354
41355
41356
41357
41358
41359
41360
41361
41362
41363
41364
41365
41366
41367
41368
41369
41370
41371
41372
41373
41374
41375
41376
41377
41378
41379
41380
41381
41382
41383
41384
41385
41386
41387
41388
41389
41390
41391
41392
41393
41394
41395
41396
41397
41398
41399
41400
41401
41402
41403
41404
41405
41406
41407
41408
41409
41410
41411
41412
41413
41414
41415
41416
41417
41418
41419
41420
41421
41422
41423
41424
41425
41426
41427
41428
41429
41430
41431
41432
41433
41434
41435
41436
41437
41438
41439
41440
41441
41442
41443
41444
41445
41446
41447
41448
41449
41450
41451
41452
41453
41454
41455
41456
41457
41458
41459
41460
41461
41462
41463
41464
41465
41466
41467
41468
41469
41470
41471
41472
41473
41474
41475
41476
41477
41478
41479
41480
41481
41482
41483
41484
41485
41486
41487
41488
41489
41490
41491
41492
41493
41494
41495
41496
41497
41498
41499
41500
41501
41502
41503
41504
41505
41506
41507
41508
41509
41510
41511
41512
41513
41514
41515
41516
41517
41518
41519
41520
41521
41522
41523
41524
41525
41526
41527
41528
41529
41530
41531
41532
41533
41534
41535
41536
41537
41538
41539
41540
41541
41542
41543
41544
41545
41546
41547
41548
41549
41550
41551
41552
41553
41554
41555
41556
41557
41558
41559
41560
41561
41562
41563
41564
41565
41566
41567
41568
41569
41570
41571
41572
41573
41574
41575
41576
41577
41578
41579
41580
41581
41582
41583
41584
41585
41586
41587
41588
41589
41590
41591
41592
41593
41594
41595
41596
41597
41598
41599
41600
41601
41602
41603
41604
41605
41606
41607
41608
41609
41610
41611
41612
41613
41614
41615
41616
41617
41618
41619
41620
41621
41622
41623
41624
41625
41626
41627
41628
41629
41630
41631
41632
41633
41634
41635
41636
41637
41638
41639
41640
41641
41642
41643
41644
41645
41646
41647
41648
41649
41650
41651
41652
41653
41654
41655
41656
41657
41658
41659
41660
41661
41662
41663
41664
41665
41666
41667
41668
41669
41670
41671
41672
41673
41674
41675
41676
41677
41678
41679
41680
41681
41682
41683
41684
41685
41686
41687
41688
41689
41690
41691
41692
41693
41694
41695
41696
41697
41698
41699
41700
41701
41702
41703
41704
41705
41706
41707
41708
41709
41710
41711
41712
41713
41714
41715
41716
41717
41718
41719
41720
41721
41722
41723
41724
41725
41726
41727
41728
41729
41730
41731
41732
41733
41734
41735
41736
41737
41738
41739
41740
41741
41742
41743
41744
41745
41746
41747
41748
41749
41750
41751
41752
41753
41754
41755
41756
41757
41758
41759
41760
41761
41762
41763
41764
41765
41766
41767
41768
41769
41770
41771
41772
41773
41774
41775
41776
41777
41778
41779
41780
41781
41782
41783
41784
41785
41786
41787
41788
41789
41790
41791
41792
41793
41794
41795
41796
41797
41798
41799
41800
41801
41802
41803
41804
41805
41806
41807
41808
41809
41810
41811
41812
41813
41814
41815
41816
41817
41818
41819
41820
41821
41822
41823
41824
41825
41826
41827
41828
41829
41830
41831
41832
41833
41834
41835
41836
41837
41838
41839
41840
41841
41842
41843
41844
41845
41846
41847
41848
41849
41850
41851
41852
41853
41854
41855
41856
41857
41858
41859
41860
41861
41862
41863
41864
41865
41866
41867
41868
41869
41870
41871
41872
41873
41874
41875
41876
41877
41878
41879
41880
41881
41882
41883
41884
41885
41886
41887
41888
41889
41890
41891
41892
41893
41894
41895
41896
41897
41898
41899
41900
41901
41902
41903
41904
41905
41906
41907
41908
41909
41910
41911
41912
41913
41914
41915
41916
41917
41918
41919
41920
41921
41922
41923
41924
41925
41926
41927
41928
41929
41930
41931
41932
41933
41934
41935
41936
41937
41938
41939
41940
41941
41942
41943
41944
41945
41946
41947
41948
41949
41950
41951
41952
41953
41954
41955
41956
41957
41958
41959
41960
41961
41962
41963
41964
41965
41966
41967
41968
41969
41970
41971
41972
41973
41974
41975
41976
41977
41978
41979
41980
41981
41982
41983
41984
41985
41986
41987
41988
41989
41990
41991
41992
41993
41994
41995
41996
41997
41998
41999
42000
42001
42002
42003
42004
42005
42006
42007
42008
42009
42010
42011
42012
42013
42014
42015
42016
42017
42018
42019
42020
42021
42022
42023
42024
42025
42026
42027
42028
42029
42030
42031
42032
42033
42034
42035
42036
42037
42038
42039
42040
42041
42042
42043
42044
42045
42046
42047
42048
42049
42050
42051
42052
42053
42054
42055
42056
42057
42058
42059
42060
42061
42062
42063
42064
42065
42066
42067
42068
42069
42070
42071
42072
42073
42074
42075
42076
42077
42078
42079
42080
42081
42082
42083
42084
42085
42086
42087
42088
42089
42090
42091
42092
42093
42094
42095
42096
42097
42098
42099
42100
42101
42102
42103
42104
42105
42106
42107
42108
42109
42110
42111
42112
42113
42114
42115
42116
42117
42118
42119
42120
42121
42122
42123
42124
42125
42126
42127
42128
42129
42130
42131
42132
42133
42134
42135
42136
42137
42138
42139
42140
42141
42142
42143
42144
42145
42146
42147
42148
42149
42150
42151
42152
42153
42154
42155
42156
42157
42158
42159
42160
42161
42162
42163
42164
42165
42166
42167
42168
42169
42170
42171
42172
42173
42174
42175
42176
42177
42178
42179
42180
42181
42182
42183
42184
42185
42186
42187
42188
42189
42190
42191
42192
42193
42194
42195
42196
42197
42198
42199
42200
42201
42202
42203
42204
42205
42206
42207
42208
42209
42210
42211
42212
42213
42214
42215
42216
42217
42218
42219
42220
42221
42222
42223
42224
42225
42226
42227
42228
42229
42230
42231
42232
42233
42234
42235
42236
42237
42238
42239
42240
42241
42242
42243
42244
42245
42246
42247
42248
42249
42250
42251
42252
42253
42254
42255
42256
42257
42258
42259
42260
42261
42262
42263
42264
42265
42266
42267
42268
42269
42270
42271
42272
42273
42274
42275
42276
42277
42278
42279
42280
42281
42282
42283
42284
42285
42286
42287
42288
42289
42290
42291
42292
42293
42294
42295
42296
42297
42298
42299
42300
42301
42302
42303
42304
42305
42306
42307
42308
42309
42310
42311
42312
42313
42314
42315
42316
42317
42318
42319
42320
42321
42322
42323
42324
42325
42326
42327
42328
42329
42330
42331
42332
42333
42334
42335
42336
42337
42338
42339
42340
42341
42342
42343
42344
42345
42346
42347
42348
42349
42350
42351
42352
42353
42354
42355
42356
42357
42358
42359
42360
42361
42362
42363
42364
42365
42366
42367
42368
42369
42370
42371
42372
42373
42374
42375
42376
42377
42378
42379
42380
42381
42382
42383
42384
42385
42386
42387
42388
42389
42390
42391
42392
42393
42394
42395
42396
42397
42398
42399
42400
42401
42402
42403
42404
42405
42406
42407
42408
42409
42410
42411
42412
42413
42414
42415
42416
42417
42418
42419
42420
42421
42422
42423
42424
42425
42426
42427
42428
42429
42430
42431
42432
42433
42434
42435
42436
42437
42438
42439
42440
42441
42442
42443
42444
42445
42446
42447
42448
42449
42450
42451
42452
42453
42454
42455
42456
42457
42458
42459
42460
42461
42462
42463
42464
42465
42466
42467
42468
42469
42470
42471
42472
42473
42474
42475
42476
42477
42478
42479
42480
42481
42482
42483
42484
42485
42486
42487
42488
42489
42490
42491
42492
42493
42494
42495
42496
42497
42498
42499
42500
42501
42502
42503
42504
42505
42506
42507
42508
42509
42510
42511
42512
42513
42514
42515
42516
42517
42518
42519
42520
42521
42522
42523
42524
42525
42526
42527
42528
42529
42530
42531
42532
42533
42534
42535
42536
42537
42538
42539
42540
42541
42542
42543
42544
42545
42546
42547
42548
42549
42550
42551
42552
42553
42554
42555
42556
42557
42558
42559
42560
42561
42562
42563
42564
42565
42566
42567
42568
42569
42570
42571
42572
42573
42574
42575
42576
42577
42578
42579
42580
42581
42582
42583
42584
42585
42586
42587
42588
42589
42590
42591
42592
42593
42594
42595
42596
42597
42598
42599
42600
42601
42602
42603
42604
42605
42606
42607
42608
42609
42610
42611
42612
42613
42614
42615
42616
42617
42618
42619
42620
42621
42622
42623
42624
42625
42626
42627
42628
42629
42630
42631
42632
42633
42634
42635
42636
42637
42638
42639
42640
42641
42642
42643
42644
42645
42646
42647
42648
42649
42650
42651
42652
42653
42654
42655
42656
42657
42658
42659
42660
42661
42662
42663
42664
42665
42666
42667
42668
42669
42670
42671
42672
42673
42674
42675
42676
42677
42678
42679
42680
42681
42682
42683
42684
42685
42686
42687
42688
42689
42690
42691
42692
42693
42694
42695
42696
42697
42698
42699
42700
42701
42702
42703
42704
42705
42706
42707
42708
42709
42710
42711
42712
42713
42714
42715
42716
42717
42718
42719
42720
42721
42722
42723
42724
42725
42726
42727
42728
42729
42730
42731
42732
42733
42734
42735
42736
42737
42738
42739
42740
42741
42742
42743
42744
42745
42746
42747
42748
42749
42750
42751
42752
42753
42754
42755
42756
42757
42758
42759
42760
42761
42762
42763
42764
42765
42766
42767
42768
42769
42770
42771
42772
42773
42774
42775
42776
42777
42778
42779
42780
42781
42782
42783
42784
42785
42786
42787
42788
42789
42790
42791
42792
42793
42794
42795
42796
42797
42798
42799
42800
42801
42802
42803
42804
42805
42806
42807
42808
42809
42810
42811
42812
42813
42814
42815
42816
42817
42818
42819
42820
42821
42822
42823
42824
42825
42826
42827
42828
42829
42830
42831
42832
42833
42834
42835
42836
42837
42838
42839
42840
42841
42842
42843
42844
42845
42846
42847
42848
42849
42850
42851
42852
42853
42854
42855
42856
42857
42858
42859
42860
42861
42862
42863
42864
42865
42866
42867
42868
42869
42870
42871
42872
42873
42874
42875
42876
42877
42878
42879
42880
42881
42882
42883
42884
42885
42886
42887
42888
42889
42890
42891
42892
42893
42894
42895
42896
42897
42898
42899
42900
42901
42902
42903
42904
42905
42906
42907
42908
42909
42910
42911
42912
42913
42914
42915
42916
42917
42918
42919
42920
42921
42922
42923
42924
42925
42926
42927
42928
42929
42930
42931
42932
42933
42934
42935
42936
42937
42938
42939
42940
42941
42942
42943
42944
42945
42946
42947
42948
42949
42950
42951
42952
42953
42954
42955
42956
42957
42958
42959
42960
42961
42962
42963
42964
42965
42966
42967
42968
42969
42970
42971
42972
42973
42974
42975
42976
42977
42978
42979
42980
42981
42982
42983
42984
42985
42986
42987
42988
42989
42990
42991
42992
42993
42994
42995
42996
42997
42998
42999
43000
43001
43002
43003
43004
43005
43006
43007
43008
43009
43010
43011
43012
43013
43014
43015
43016
43017
43018
43019
43020
43021
43022
43023
43024
43025
43026
43027
43028
43029
43030
43031
43032
43033
43034
43035
43036
43037
43038
43039
43040
43041
43042
43043
43044
43045
43046
43047
43048
43049
43050
43051
43052
43053
43054
43055
43056
43057
43058
43059
43060
43061
43062
43063
43064
43065
43066
43067
43068
43069
43070
43071
43072
43073
43074
43075
43076
43077
43078
43079
43080
43081
43082
43083
43084
43085
43086
43087
43088
43089
43090
43091
43092
43093
43094
43095
43096
43097
43098
43099
43100
43101
43102
43103
43104
43105
43106
43107
43108
43109
43110
43111
43112
43113
43114
43115
43116
43117
43118
43119
43120
43121
43122
43123
43124
43125
43126
43127
43128
43129
43130
43131
43132
43133
43134
43135
43136
43137
43138
43139
43140
43141
43142
43143
43144
43145
43146
43147
43148
43149
43150
43151
43152
43153
43154
43155
43156
43157
43158
43159
43160
43161
43162
43163
43164
43165
43166
43167
43168
43169
43170
43171
43172
43173
43174
43175
43176
43177
43178
43179
43180
43181
43182
43183
43184
43185
43186
43187
43188
43189
43190
43191
43192
43193
43194
43195
43196
43197
43198
43199
43200
43201
43202
43203
43204
43205
43206
43207
43208
43209
43210
43211
43212
43213
43214
43215
43216
43217
43218
43219
43220
43221
43222
43223
43224
43225
43226
43227
43228
43229
43230
43231
43232
43233
43234
43235
43236
43237
43238
43239
43240
43241
43242
43243
43244
43245
43246
43247
43248
43249
43250
43251
43252
43253
43254
43255
43256
43257
43258
43259
43260
43261
43262
43263
43264
43265
43266
43267
43268
43269
43270
43271
43272
43273
43274
43275
43276
43277
43278
43279
43280
43281
43282
43283
43284
43285
43286
43287
43288
43289
43290
43291
43292
43293
43294
43295
43296
43297
43298
43299
43300
43301
43302
43303
43304
43305
43306
43307
43308
43309
43310
43311
43312
43313
43314
43315
43316
43317
43318
43319
43320
43321
43322
43323
43324
43325
43326
43327
43328
43329
43330
43331
43332
43333
43334
43335
43336
43337
43338
43339
43340
43341
43342
43343
43344
43345
43346
43347
43348
43349
43350
43351
43352
43353
43354
43355
43356
43357
43358
43359
43360
43361
43362
43363
43364
43365
43366
43367
43368
43369
43370
43371
43372
43373
43374
43375
43376
43377
43378
43379
43380
43381
43382
43383
43384
43385
43386
43387
43388
43389
43390
43391
43392
43393
43394
43395
43396
43397
43398
43399
43400
43401
43402
43403
43404
43405
43406
43407
43408
43409
43410
43411
43412
43413
43414
43415
43416
43417
43418
43419
43420
43421
43422
43423
43424
43425
43426
43427
43428
43429
43430
43431
43432
43433
43434
43435
43436
43437
43438
43439
43440
43441
43442
43443
43444
43445
43446
43447
43448
43449
43450
43451
43452
43453
43454
43455
43456
43457
43458
43459
43460
43461
43462
43463
43464
43465
43466
43467
43468
43469
43470
43471
43472
43473
43474
43475
43476
43477
43478
43479
43480
43481
43482
43483
43484
43485
43486
43487
43488
43489
43490
43491
43492
43493
43494
43495
43496
43497
43498
43499
43500
43501
43502
43503
43504
43505
43506
43507
43508
43509
43510
43511
43512
43513
43514
43515
43516
43517
43518
43519
43520
43521
43522
43523
43524
43525
43526
43527
43528
43529
43530
43531
43532
43533
43534
43535
43536
43537
43538
43539
43540
43541
43542
43543
43544
43545
43546
43547
43548
43549
43550
43551
43552
43553
43554
43555
43556
43557
43558
43559
43560
43561
43562
43563
43564
43565
43566
43567
43568
43569
43570
43571
43572
43573
43574
43575
43576
43577
43578
43579
43580
43581
43582
43583
43584
43585
43586
43587
43588
43589
43590
43591
43592
43593
43594
43595
43596
43597
43598
43599
43600
43601
43602
43603
43604
43605
43606
43607
43608
43609
43610
43611
43612
43613
43614
43615
43616
43617
43618
43619
43620
43621
43622
43623
43624
43625
43626
43627
43628
43629
43630
43631
43632
43633
43634
43635
43636
43637
43638
43639
43640
43641
43642
43643
43644
43645
43646
43647
43648
43649
43650
43651
43652
43653
43654
43655
43656
43657
43658
43659
43660
43661
43662
43663
43664
43665
43666
43667
43668
43669
43670
43671
43672
43673
43674
43675
43676
43677
43678
43679
43680
43681
43682
43683
43684
43685
43686
43687
43688
43689
43690
43691
43692
43693
43694
43695
43696
43697
43698
43699
43700
43701
43702
43703
43704
43705
43706
43707
43708
43709
43710
43711
43712
43713
43714
43715
43716
43717
43718
43719
43720
43721
43722
43723
43724
43725
43726
43727
43728
43729
43730
43731
43732
43733
43734
43735
43736
43737
43738
43739
43740
43741
43742
43743
43744
43745
43746
43747
43748
43749
43750
43751
43752
43753
43754
43755
43756
43757
43758
43759
43760
43761
43762
43763
43764
43765
43766
43767
43768
43769
43770
43771
43772
43773
43774
43775
43776
43777
43778
43779
43780
43781
43782
43783
43784
43785
43786
43787
43788
43789
43790
43791
43792
43793
43794
43795
43796
43797
43798
43799
43800
43801
43802
43803
43804
43805
43806
43807
43808
43809
43810
43811
43812
43813
43814
43815
43816
43817
43818
43819
43820
43821
43822
43823
43824
43825
43826
43827
43828
43829
43830
43831
43832
43833
43834
43835
43836
43837
43838
43839
43840
43841
43842
43843
43844
43845
43846
43847
43848
43849
43850
43851
43852
43853
43854
43855
43856
43857
43858
43859
43860
43861
43862
43863
43864
43865
43866
43867
43868
43869
43870
43871
43872
43873
43874
43875
43876
43877
43878
43879
43880
43881
43882
43883
43884
43885
43886
43887
43888
43889
43890
43891
43892
43893
43894
43895
43896
43897
43898
43899
43900
43901
43902
43903
43904
43905
43906
43907
43908
43909
43910
43911
43912
43913
43914
43915
43916
43917
43918
43919
43920
43921
43922
43923
43924
43925
43926
43927
43928
43929
43930
43931
43932
43933
43934
43935
43936
43937
43938
43939
43940
43941
43942
43943
43944
43945
43946
43947
43948
43949
43950
43951
43952
43953
43954
43955
43956
43957
43958
43959
43960
43961
43962
43963
43964
43965
43966
43967
43968
43969
43970
43971
43972
43973
43974
43975
43976
43977
43978
43979
43980
43981
43982
43983
43984
43985
43986
43987
43988
43989
43990
43991
43992
43993
43994
43995
43996
43997
43998
43999
44000
44001
44002
44003
44004
44005
44006
44007
44008
44009
44010
44011
44012
44013
44014
44015
44016
44017
44018
44019
44020
44021
44022
44023
44024
44025
44026
44027
44028
44029
44030
44031
44032
44033
44034
44035
44036
44037
44038
44039
44040
44041
44042
44043
44044
44045
44046
44047
44048
44049
44050
44051
44052
44053
44054
44055
44056
44057
44058
44059
44060
44061
44062
44063
44064
44065
44066
44067
44068
44069
44070
44071
44072
44073
44074
44075
44076
44077
44078
44079
44080
44081
44082
44083
44084
44085
44086
44087
44088
44089
44090
44091
44092
44093
44094
44095
44096
44097
44098
44099
44100
44101
44102
44103
44104
44105
44106
44107
44108
44109
44110
44111
44112
44113
44114
44115
44116
44117
44118
44119
44120
44121
44122
44123
44124
44125
44126
44127
44128
44129
44130
44131
44132
44133
44134
44135
44136
44137
44138
44139
44140
44141
44142
44143
44144
44145
44146
44147
44148
44149
44150
44151
44152
44153
44154
44155
44156
44157
44158
44159
44160
44161
44162
44163
44164
44165
44166
44167
44168
44169
44170
44171
44172
44173
44174
44175
44176
44177
44178
44179
44180
44181
44182
44183
44184
44185
44186
44187
44188
44189
44190
44191
44192
44193
44194
44195
44196
44197
44198
44199
44200
44201
44202
44203
44204
44205
44206
44207
44208
44209
44210
44211
44212
44213
44214
44215
44216
44217
44218
44219
44220
44221
44222
44223
44224
44225
44226
44227
44228
44229
44230
44231
44232
44233
44234
44235
44236
44237
44238
44239
44240
44241
44242
44243
44244
44245
44246
44247
44248
44249
44250
44251
44252
44253
44254
44255
44256
44257
44258
44259
44260
44261
44262
44263
44264
44265
44266
44267
44268
44269
44270
44271
44272
44273
44274
44275
44276
44277
44278
44279
44280
44281
44282
44283
44284
44285
44286
44287
44288
44289
44290
44291
44292
44293
44294
44295
44296
44297
44298
44299
44300
44301
44302
44303
44304
44305
44306
44307
44308
44309
44310
44311
44312
44313
44314
44315
44316
44317
44318
44319
44320
44321
44322
44323
44324
44325
44326
44327
44328
44329
44330
44331
44332
44333
44334
44335
44336
44337
44338
44339
44340
44341
44342
44343
44344
44345
44346
44347
44348
44349
44350
44351
44352
44353
44354
44355
44356
44357
44358
44359
44360
44361
44362
44363
44364
44365
44366
44367
44368
44369
44370
44371
44372
44373
44374
44375
44376
44377
44378
44379
44380
44381
44382
44383
44384
44385
44386
44387
44388
44389
44390
44391
44392
44393
44394
44395
44396
44397
44398
44399
44400
44401
44402
44403
44404
44405
44406
44407
44408
44409
44410
44411
44412
44413
44414
44415
44416
44417
44418
44419
44420
44421
44422
44423
44424
44425
44426
44427
44428
44429
44430
44431
44432
44433
44434
44435
44436
44437
44438
44439
44440
44441
44442
44443
44444
44445
44446
44447
44448
44449
44450
44451
44452
44453
44454
44455
44456
44457
44458
44459
44460
44461
44462
44463
44464
44465
44466
44467
44468
44469
44470
44471
44472
44473
44474
44475
44476
44477
44478
44479
44480
44481
44482
44483
44484
44485
44486
44487
44488
44489
44490
44491
44492
44493
44494
44495
44496
44497
44498
44499
44500
44501
44502
44503
44504
44505
44506
44507
44508
44509
44510
44511
44512
44513
44514
44515
44516
44517
44518
44519
44520
44521
44522
44523
44524
44525
44526
44527
44528
44529
44530
44531
44532
44533
44534
44535
44536
44537
44538
44539
44540
44541
44542
44543
44544
44545
44546
44547
44548
44549
44550
44551
44552
44553
44554
44555
44556
44557
44558
44559
44560
44561
44562
44563
44564
44565
44566
44567
44568
44569
44570
44571
44572
44573
44574
44575
44576
44577
44578
44579
44580
44581
44582
44583
44584
44585
44586
44587
44588
44589
44590
44591
44592
44593
44594
44595
44596
44597
44598
44599
44600
44601
44602
44603
44604
44605
44606
44607
44608
44609
44610
44611
44612
44613
44614
44615
44616
44617
44618
44619
44620
44621
44622
44623
44624
44625
44626
44627
44628
44629
44630
44631
44632
44633
44634
44635
44636
44637
44638
44639
44640
44641
44642
44643
44644
44645
44646
44647
44648
44649
44650
44651
44652
44653
44654
44655
44656
44657
44658
44659
44660
44661
44662
44663
44664
44665
44666
44667
44668
44669
44670
44671
44672
44673
44674
44675
44676
44677
44678
44679
44680
44681
44682
44683
44684
44685
44686
44687
44688
44689
44690
44691
44692
44693
44694
44695
44696
44697
44698
44699
44700
44701
44702
44703
44704
44705
44706
44707
44708
44709
44710
44711
44712
44713
44714
44715
44716
44717
44718
44719
44720
44721
44722
44723
44724
44725
44726
44727
44728
44729
44730
44731
44732
44733
44734
44735
44736
44737
44738
44739
44740
44741
44742
44743
44744
44745
44746
44747
44748
44749
44750
44751
44752
44753
44754
44755
44756
44757
44758
44759
44760
44761
44762
44763
44764
44765
44766
44767
44768
44769
44770
44771
44772
44773
44774
44775
44776
44777
44778
44779
44780
44781
44782
44783
44784
44785
44786
44787
44788
44789
44790
44791
44792
44793
44794
44795
44796
44797
44798
44799
44800
44801
44802
44803
44804
44805
44806
44807
44808
44809
44810
44811
44812
44813
44814
44815
44816
44817
44818
44819
44820
44821
44822
44823
44824
44825
44826
44827
44828
44829
44830
44831
44832
44833
44834
44835
44836
44837
44838
44839
44840
44841
44842
44843
44844
44845
44846
44847
44848
44849
44850
44851
44852
44853
44854
44855
44856
44857
44858
44859
44860
44861
44862
44863
44864
44865
44866
44867
44868
44869
44870
44871
44872
44873
44874
44875
44876
44877
44878
44879
44880
44881
44882
44883
44884
44885
44886
44887
44888
44889
44890
44891
44892
44893
44894
44895
44896
44897
44898
44899
44900
44901
44902
44903
44904
44905
44906
44907
44908
44909
44910
44911
44912
44913
44914
44915
44916
44917
44918
44919
44920
44921
44922
44923
44924
44925
44926
44927
44928
44929
44930
44931
44932
44933
44934
44935
44936
44937
44938
44939
44940
44941
44942
44943
44944
44945
44946
44947
44948
44949
44950
44951
44952
44953
44954
44955
44956
44957
44958
44959
44960
44961
44962
44963
44964
44965
44966
44967
44968
44969
44970
44971
44972
44973
44974
44975
44976
44977
44978
44979
44980
44981
44982
44983
44984
44985
44986
44987
44988
44989
44990
44991
44992
44993
44994
44995
44996
44997
44998
44999
45000
45001
45002
45003
45004
45005
45006
45007
45008
45009
45010
45011
45012
45013
45014
45015
45016
45017
45018
45019
45020
45021
45022
45023
45024
45025
45026
45027
45028
45029
45030
45031
45032
45033
45034
45035
45036
45037
45038
45039
45040
45041
45042
45043
45044
45045
45046
45047
45048
45049
45050
45051
45052
45053
45054
45055
45056
45057
45058
45059
45060
45061
45062
45063
45064
45065
45066
45067
45068
45069
45070
45071
45072
45073
45074
45075
45076
45077
45078
45079
45080
45081
45082
45083
45084
45085
45086
45087
45088
45089
45090
45091
45092
45093
45094
45095
45096
45097
45098
45099
45100
45101
45102
45103
45104
45105
45106
45107
45108
45109
45110
45111
45112
45113
45114
45115
45116
45117
45118
45119
45120
45121
45122
45123
45124
45125
45126
45127
45128
45129
45130
45131
45132
45133
45134
45135
45136
45137
45138
45139
45140
45141
45142
45143
45144
45145
45146
45147
45148
45149
45150
45151
45152
45153
45154
45155
45156
45157
45158
45159
45160
45161
45162
45163
45164
45165
45166
45167
45168
45169
45170
45171
45172
45173
45174
45175
45176
45177
45178
45179
45180
45181
45182
45183
45184
45185
45186
45187
45188
45189
45190
45191
45192
45193
45194
45195
45196
45197
45198
45199
45200
45201
45202
45203
45204
45205
45206
45207
45208
45209
45210
45211
45212
45213
45214
45215
45216
45217
45218
45219
45220
45221
45222
45223
45224
45225
45226
45227
45228
45229
45230
45231
45232
45233
45234
45235
45236
45237
45238
45239
45240
45241
45242
45243
45244
45245
45246
45247
45248
45249
45250
45251
45252
45253
45254
45255
45256
45257
45258
45259
45260
45261
45262
45263
45264
45265
45266
45267
45268
45269
45270
45271
45272
45273
45274
45275
45276
45277
45278
45279
45280
45281
45282
45283
45284
45285
45286
45287
45288
45289
45290
45291
45292
45293
45294
45295
45296
45297
45298
45299
45300
45301
45302
45303
45304
45305
45306
45307
45308
45309
45310
45311
45312
45313
45314
45315
45316
45317
45318
45319
45320
45321
45322
45323
45324
45325
45326
45327
45328
45329
45330
45331
45332
45333
45334
45335
45336
45337
45338
45339
45340
45341
45342
45343
45344
45345
45346
45347
45348
45349
45350
45351
45352
45353
45354
45355
45356
45357
45358
45359
45360
45361
45362
45363
45364
45365
45366
45367
45368
45369
45370
45371
45372
45373
45374
45375
45376
45377
45378
45379
45380
45381
45382
45383
45384
45385
45386
45387
45388
45389
45390
45391
45392
45393
45394
45395
45396
45397
45398
45399
45400
45401
45402
45403
45404
45405
45406
45407
45408
45409
45410
45411
45412
45413
45414
45415
45416
45417
45418
45419
45420
45421
45422
45423
45424
45425
45426
45427
45428
45429
45430
45431
45432
45433
45434
45435
45436
45437
45438
45439
45440
45441
45442
45443
45444
45445
45446
45447
45448
45449
45450
45451
45452
45453
45454
45455
45456
45457
45458
45459
45460
45461
45462
45463
45464
45465
45466
45467
45468
45469
45470
45471
45472
45473
45474
45475
45476
45477
45478
45479
45480
45481
45482
45483
45484
45485
45486
45487
45488
45489
45490
45491
45492
45493
45494
45495
45496
45497
45498
45499
45500
45501
45502
45503
45504
45505
45506
45507
45508
45509
45510
45511
45512
45513
45514
45515
45516
45517
45518
45519
45520
45521
45522
45523
45524
45525
45526
45527
45528
45529
45530
45531
45532
45533
45534
45535
45536
45537
45538
45539
45540
45541
45542
45543
45544
45545
45546
45547
45548
45549
45550
45551
45552
45553
45554
45555
45556
45557
45558
45559
45560
45561
45562
45563
45564
45565
45566
45567
45568
45569
45570
45571
45572
45573
45574
45575
45576
45577
45578
45579
45580
45581
45582
45583
45584
45585
45586
45587
45588
45589
45590
45591
45592
45593
45594
45595
45596
45597
45598
45599
45600
45601
45602
45603
45604
45605
45606
45607
45608
45609
45610
45611
45612
45613
45614
45615
45616
45617
45618
45619
45620
45621
45622
45623
45624
45625
45626
45627
45628
45629
45630
45631
45632
45633
45634
45635
45636
45637
45638
45639
45640
45641
45642
45643
45644
45645
45646
45647
45648
45649
45650
45651
45652
45653
45654
45655
45656
45657
45658
45659
45660
45661
45662
45663
45664
45665
45666
45667
45668
45669
45670
45671
45672
45673
45674
45675
45676
45677
45678
45679
45680
45681
45682
45683
45684
45685
45686
45687
45688
45689
45690
45691
45692
45693
45694
45695
45696
45697
45698
45699
45700
45701
45702
45703
45704
45705
45706
45707
45708
45709
45710
45711
45712
45713
45714
45715
45716
45717
45718
45719
45720
45721
45722
45723
45724
45725
45726
45727
45728
45729
45730
45731
45732
45733
45734
45735
45736
45737
45738
45739
45740
45741
45742
45743
45744
45745
45746
45747
45748
45749
45750
45751
45752
45753
45754
45755
45756
45757
45758
45759
45760
45761
45762
45763
45764
45765
45766
45767
45768
45769
45770
45771
45772
45773
45774
45775
45776
45777
45778
45779
45780
45781
45782
45783
45784
45785
45786
45787
45788
45789
45790
45791
45792
45793
45794
45795
45796
45797
45798
45799
45800
45801
45802
45803
45804
45805
45806
45807
45808
45809
45810
45811
45812
45813
45814
45815
45816
45817
45818
45819
45820
45821
45822
45823
45824
45825
45826
45827
45828
45829
45830
45831
45832
45833
45834
45835
45836
45837
45838
45839
45840
45841
45842
45843
45844
45845
45846
45847
45848
45849
45850
45851
45852
45853
45854
45855
45856
45857
45858
45859
45860
45861
45862
45863
45864
45865
45866
45867
45868
45869
45870
45871
45872
45873
45874
45875
45876
45877
45878
45879
45880
45881
45882
45883
45884
45885
45886
45887
45888
45889
45890
45891
45892
45893
45894
45895
45896
45897
45898
45899
45900
45901
45902
45903
45904
45905
45906
45907
45908
45909
45910
45911
45912
45913
45914
45915
45916
45917
45918
45919
45920
45921
45922
45923
45924
45925
45926
45927
45928
45929
45930
45931
45932
45933
45934
45935
45936
45937
45938
45939
45940
45941
45942
45943
45944
45945
45946
45947
45948
45949
45950
45951
45952
45953
45954
45955
45956
45957
45958
45959
45960
45961
45962
45963
45964
45965
45966
45967
45968
45969
45970
45971
45972
45973
45974
45975
45976
45977
45978
45979
45980
45981
45982
45983
45984
45985
45986
45987
45988
45989
45990
45991
45992
45993
45994
45995
45996
45997
45998
45999
46000
46001
46002
46003
46004
46005
46006
46007
46008
46009
46010
46011
46012
46013
46014
46015
46016
46017
46018
46019
46020
46021
46022
46023
46024
46025
46026
46027
46028
46029
46030
46031
46032
46033
46034
46035
46036
46037
46038
46039
46040
46041
46042
46043
46044
46045
46046
46047
46048
46049
46050
46051
46052
46053
46054
46055
46056
46057
46058
46059
46060
46061
46062
46063
46064
46065
46066
46067
46068
46069
46070
46071
46072
46073
46074
46075
46076
46077
46078
46079
46080
46081
46082
46083
46084
46085
46086
46087
46088
46089
46090
46091
46092
46093
46094
46095
46096
46097
46098
46099
46100
46101
46102
46103
46104
46105
46106
46107
46108
46109
46110
46111
46112
46113
46114
46115
46116
46117
46118
46119
46120
46121
46122
46123
46124
46125
46126
46127
46128
46129
46130
46131
46132
46133
46134
46135
46136
46137
46138
46139
46140
46141
46142
46143
46144
46145
46146
46147
46148
46149
46150
46151
46152
46153
46154
46155
46156
46157
46158
46159
46160
46161
46162
46163
46164
46165
46166
46167
46168
46169
46170
46171
46172
46173
46174
46175
46176
46177
46178
46179
46180
46181
46182
46183
46184
46185
46186
46187
46188
46189
46190
46191
46192
46193
46194
46195
46196
46197
46198
46199
46200
46201
46202
46203
46204
46205
46206
46207
46208
46209
46210
46211
46212
46213
46214
46215
46216
46217
46218
46219
46220
46221
46222
46223
46224
46225
46226
46227
46228
46229
46230
46231
46232
46233
46234
46235
46236
46237
46238
46239
46240
46241
46242
46243
46244
46245
46246
46247
46248
46249
46250
46251
46252
46253
46254
46255
46256
46257
46258
46259
46260
46261
46262
46263
46264
46265
46266
46267
46268
46269
46270
46271
46272
46273
46274
46275
46276
46277
46278
46279
46280
46281
46282
46283
46284
46285
46286
46287
46288
46289
46290
46291
46292
46293
46294
46295
46296
46297
46298
46299
46300
46301
46302
46303
46304
46305
46306
46307
46308
46309
46310
46311
46312
46313
46314
46315
46316
46317
46318
46319
46320
46321
46322
46323
46324
46325
46326
46327
46328
46329
46330
46331
46332
46333
46334
46335
46336
46337
46338
46339
46340
46341
46342
46343
46344
46345
46346
46347
46348
46349
46350
46351
46352
46353
46354
46355
46356
46357
46358
46359
46360
46361
46362
46363
46364
46365
46366
46367
46368
46369
46370
46371
46372
46373
46374
46375
46376
46377
46378
46379
46380
46381
46382
46383
46384
46385
46386
46387
46388
46389
46390
46391
46392
46393
46394
46395
46396
46397
46398
46399
46400
46401
46402
46403
46404
46405
46406
46407
46408
46409
46410
46411
46412
46413
46414
46415
46416
46417
46418
46419
46420
46421
46422
46423
46424
46425
46426
46427
46428
46429
46430
46431
46432
46433
46434
46435
46436
46437
46438
46439
46440
46441
46442
46443
46444
46445
46446
46447
46448
46449
46450
46451
46452
46453
46454
46455
46456
46457
46458
46459
46460
46461
46462
46463
46464
46465
46466
46467
46468
46469
46470
46471
46472
46473
46474
46475
46476
46477
46478
46479
46480
46481
46482
46483
46484
46485
46486
46487
46488
46489
46490
46491
46492
46493
46494
46495
46496
46497
46498
46499
46500
46501
46502
46503
46504
46505
46506
46507
46508
46509
46510
46511
46512
46513
46514
46515
46516
46517
46518
46519
46520
46521
46522
46523
46524
46525
46526
46527
46528
46529
46530
46531
46532
46533
46534
46535
46536
46537
46538
46539
46540
46541
46542
46543
46544
46545
46546
46547
46548
46549
46550
46551
46552
46553
46554
46555
46556
46557
46558
46559
46560
46561
46562
46563
46564
46565
46566
46567
46568
46569
46570
46571
46572
46573
46574
46575
46576
46577
46578
46579
46580
46581
46582
46583
46584
46585
46586
46587
46588
46589
46590
46591
46592
46593
46594
46595
46596
46597
46598
46599
46600
46601
46602
46603
46604
46605
46606
46607
46608
46609
46610
46611
46612
46613
46614
46615
46616
46617
46618
46619
46620
46621
46622
46623
46624
46625
46626
46627
46628
46629
46630
46631
46632
46633
46634
46635
46636
46637
46638
46639
46640
46641
46642
46643
46644
46645
46646
46647
46648
46649
46650
46651
46652
46653
46654
46655
46656
46657
46658
46659
46660
46661
46662
46663
46664
46665
46666
46667
46668
46669
46670
46671
46672
46673
46674
46675
46676
46677
46678
46679
46680
46681
46682
46683
46684
46685
46686
46687
46688
46689
46690
46691
46692
46693
46694
46695
46696
46697
46698
46699
46700
46701
46702
46703
46704
46705
46706
46707
46708
46709
46710
46711
46712
46713
46714
46715
46716
46717
46718
46719
46720
46721
46722
46723
46724
46725
46726
46727
46728
46729
46730
46731
46732
46733
46734
46735
46736
46737
46738
46739
46740
46741
46742
46743
46744
46745
46746
46747
46748
46749
46750
46751
46752
46753
46754
46755
46756
46757
46758
46759
46760
46761
46762
46763
46764
46765
46766
46767
46768
46769
46770
46771
46772
46773
46774
46775
46776
46777
46778
46779
46780
46781
46782
46783
46784
46785
46786
46787
46788
46789
46790
46791
46792
46793
46794
46795
46796
46797
46798
46799
46800
46801
46802
46803
46804
46805
46806
46807
46808
46809
46810
46811
46812
46813
46814
46815
46816
46817
46818
46819
46820
46821
46822
46823
46824
46825
46826
46827
46828
46829
46830
46831
46832
46833
46834
46835
46836
46837
46838
46839
46840
46841
46842
46843
46844
46845
46846
46847
46848
46849
46850
46851
46852
46853
46854
46855
46856
46857
46858
46859
46860
46861
46862
46863
46864
46865
46866
46867
46868
46869
46870
46871
46872
46873
46874
46875
46876
46877
46878
46879
46880
46881
46882
46883
46884
46885
46886
46887
46888
46889
46890
46891
46892
46893
46894
46895
46896
46897
46898
46899
46900
46901
46902
46903
46904
46905
46906
46907
46908
46909
46910
46911
46912
46913
46914
46915
46916
46917
46918
46919
46920
46921
46922
46923
46924
46925
46926
46927
46928
46929
46930
46931
46932
46933
46934
46935
46936
46937
46938
46939
46940
46941
46942
46943
46944
46945
46946
46947
46948
46949
46950
46951
46952
46953
46954
46955
46956
46957
46958
46959
46960
46961
46962
46963
46964
46965
46966
46967
46968
46969
46970
46971
46972
46973
46974
46975
46976
46977
46978
46979
46980
46981
46982
46983
46984
46985
46986
46987
46988
46989
46990
46991
46992
46993
46994
46995
46996
46997
46998
46999
47000
47001
47002
47003
47004
47005
47006
47007
47008
47009
47010
47011
47012
47013
47014
47015
47016
47017
47018
47019
47020
47021
47022
47023
47024
47025
47026
47027
47028
47029
47030
47031
47032
47033
47034
47035
47036
47037
47038
47039
47040
47041
47042
47043
47044
47045
47046
47047
47048
47049
47050
47051
47052
47053
47054
47055
47056
47057
47058
47059
47060
47061
47062
47063
47064
47065
47066
47067
47068
47069
47070
47071
47072
47073
47074
47075
47076
47077
47078
47079
47080
47081
47082
47083
47084
47085
47086
47087
47088
47089
47090
47091
47092
47093
47094
47095
47096
47097
47098
47099
47100
47101
47102
47103
47104
47105
47106
47107
47108
47109
47110
47111
47112
47113
47114
47115
47116
47117
47118
47119
47120
47121
47122
47123
47124
47125
47126
47127
47128
47129
47130
47131
47132
47133
47134
47135
47136
47137
47138
47139
47140
47141
47142
47143
47144
47145
47146
47147
47148
47149
47150
47151
47152
47153
47154
47155
47156
47157
47158
47159
47160
47161
47162
47163
47164
47165
47166
47167
47168
47169
47170
47171
47172
47173
47174
47175
47176
47177
47178
47179
47180
47181
47182
47183
47184
47185
47186
47187
47188
47189
47190
47191
47192
47193
47194
47195
47196
47197
47198
47199
47200
47201
47202
47203
47204
47205
47206
47207
47208
47209
47210
47211
47212
47213
47214
47215
47216
47217
47218
47219
47220
47221
47222
47223
47224
47225
47226
47227
47228
47229
47230
47231
47232
47233
47234
47235
47236
47237
47238
47239
47240
47241
47242
47243
47244
47245
47246
47247
47248
47249
47250
47251
47252
47253
47254
47255
47256
47257
47258
47259
47260
47261
47262
47263
47264
47265
47266
47267
47268
47269
47270
47271
47272
47273
47274
47275
47276
47277
47278
47279
47280
47281
47282
47283
47284
47285
47286
47287
47288
47289
47290
47291
47292
47293
47294
47295
47296
47297
47298
47299
47300
47301
47302
47303
47304
47305
47306
47307
47308
47309
47310
47311
47312
47313
47314
47315
47316
47317
47318
47319
47320
47321
47322
47323
47324
47325
47326
47327
47328
47329
47330
47331
47332
47333
47334
47335
47336
47337
47338
47339
47340
47341
47342
47343
47344
47345
47346
47347
47348
47349
47350
47351
47352
47353
47354
47355
47356
47357
47358
47359
47360
47361
47362
47363
47364
47365
47366
47367
47368
47369
47370
47371
47372
47373
47374
47375
47376
47377
47378
47379
47380
47381
47382
47383
47384
47385
47386
47387
47388
47389
47390
47391
47392
47393
47394
47395
47396
47397
47398
47399
47400
47401
47402
47403
47404
47405
47406
47407
47408
47409
47410
47411
47412
47413
47414
47415
47416
47417
47418
47419
47420
47421
47422
47423
47424
47425
47426
47427
47428
47429
47430
47431
47432
47433
47434
47435
47436
47437
47438
47439
47440
47441
47442
47443
47444
47445
47446
47447
47448
47449
47450
47451
47452
47453
47454
47455
47456
47457
47458
47459
47460
47461
47462
47463
47464
47465
47466
47467
47468
47469
47470
47471
47472
47473
47474
47475
47476
47477
47478
47479
47480
47481
47482
47483
47484
47485
47486
47487
47488
47489
47490
47491
47492
47493
47494
47495
47496
47497
47498
47499
47500
47501
47502
47503
47504
47505
47506
47507
47508
47509
47510
47511
47512
47513
47514
47515
47516
47517
47518
47519
47520
47521
47522
47523
47524
47525
47526
47527
47528
47529
47530
47531
47532
47533
47534
47535
47536
47537
47538
47539
47540
47541
47542
47543
47544
47545
47546
47547
47548
47549
47550
47551
47552
47553
47554
47555
47556
47557
47558
47559
47560
47561
47562
47563
47564
47565
47566
47567
47568
47569
47570
47571
47572
47573
47574
47575
47576
47577
47578
47579
47580
47581
47582
47583
47584
47585
47586
47587
47588
47589
47590
47591
47592
47593
47594
47595
47596
47597
47598
47599
47600
47601
47602
47603
47604
47605
47606
47607
47608
47609
47610
47611
47612
47613
47614
47615
47616
47617
47618
47619
47620
47621
47622
47623
47624
47625
47626
47627
47628
47629
47630
47631
47632
47633
47634
47635
47636
47637
47638
47639
47640
47641
47642
47643
47644
47645
47646
47647
47648
47649
47650
47651
47652
47653
47654
47655
47656
47657
47658
47659
47660
47661
47662
47663
47664
47665
47666
47667
47668
47669
47670
47671
47672
47673
47674
47675
47676
47677
47678
47679
47680
47681
47682
47683
47684
47685
47686
47687
47688
47689
47690
47691
47692
47693
47694
47695
47696
47697
47698
47699
47700
47701
47702
47703
47704
47705
47706
47707
47708
47709
47710
47711
47712
47713
47714
47715
47716
47717
47718
47719
47720
2016-01-23 16:39  mortenmacfly

svn10694:  - merged remaining files from release branch into
	  trunk (no functional change)

2016-01-23 16:26  mortenmacfly

svn10693:  * merged release branch into trunk (no functional
	  change)

2016-01-22 12:58  mortenmacfly

svn10691:  - codestat plugin: consistent coding style

2016-01-22 12:52  mortenmacfly

svn10690:  - fix for non-PCH compilers an wx30 project(s) (part
	  2)

2016-01-22 12:50  mortenmacfly

svn10689:  - fix for non-PCH compilers an wx30 project(s)

2016-01-22 12:24  mortenmacfly

svn10688:  - wxSmith: reduced warnings wrt switch statements and
	  unused variables (no functional change)

2016-01-22 10:56  mortenmacfly

svn10687:  * removed empty folder

2016-01-22 10:53  mortenmacfly

svn10686:  * wxSmith: introduced settings wrt to controlling
	  translation and style of translation code (wxT(), _T()...)
	  globally (Part2)
	  * added forgotten wxGetSingleChoiceIndex ->
	  cbGetSingleChoiceIndex translation (Part2)
	  - wxSmith: reduced warnings wrt switch statements and unused
	  variables (no functional change) (Part2)

2016-01-22 10:51  mortenmacfly

svn10685:  * wxSmith: introduced settings wrt to controlling
	  translation and style of translation code (wxT(), _T()...)
	  globally
	  * added forgotten wxGetSingleChoiceIndex ->
	  cbGetSingleChoiceIndex translation
	  - wxSmith: reduced warnings wrt switch statements and unused
	  variables (no functional change)

2016-01-22 10:49  mortenmacfly

svn10684:  - wxSmith: reduced warnings wrt switch statements and
	  unused variables (no functional change)
	  - wxSmith: portions re-generated due to new wxSmith (no
	  functional change)

2016-01-22 10:46  mortenmacfly

svn10683:  - wxSmith/properties: reduced warnings wrt switch
	  statements and unused variables (no functional change)
	  * wxSmith: do not translate fontmapper code

2016-01-22 10:46  mortenmacfly

svn10682:  - wxSmith/properties: reduced warnings wrt switch
	  statements and unused variables (no functional change)

2016-01-22 10:45  mortenmacfly

svn10681:  - wxSmith/properties: reduced warnings wrt switch
	  statements and unused variables (no functional change)
	  - wxSmith/properties: portions re-generated due to new wxSmith
	  (no functional change)

2016-01-22 10:43  mortenmacfly

svn10680:  - wxSmith/defitems: reduced warnings wrt switch
	  statements and unused variables (no functional change)
	  - wxSmith/defitems: portions re-generated due to new wxSmith (no
	  functional change)

2016-01-22 10:42  mortenmacfly

svn10679:  - wxSmith/defitems: reduced warnings wrt switch
	  statements and unused variables (no functional change)

2016-01-22 10:41  mortenmacfly

svn10678:  - wxSmith/properties: portions re-generated due to new
	  wxSmith (no functional change)

2016-01-22 05:38  fuscated

svn10677:  - sdk: Remove all breakpoint related functions from
	  EditorBase

2016-01-22 05:38  fuscated

svn10676:  - sdk: Remove all bookmark functions from EditorBase -
	  they are not needed there, just in cbEditor

2016-01-22 05:38  fuscated

svn10675:  * editor: Apply patch #71 - Wrap around when reaching
	  the last bookmark in an editor (thanks beja)

2016-01-22 05:38  fuscated

svn10674:  * batch: Apply patch #80 - prevent asking for closing
	  the workspace in batch builds (thanks Bat)

2016-01-21 10:36  mortenmacfly

svn10673:  * applied patch by frithjofh w/ some refactoring for
	  the search/replace dialog (see
	  http://forums.codeblocks.org/index.php/topic,20849.msg142364.html#msg142364)

2016-01-20 23:40  fuscated

svn10672:  - ui: Remove (new) from the name of the watches window

2016-01-20 23:40  fuscated

svn10671:  - debugger: Build fix for OSX
	  
	  > It seems that OSX defines uint64_t as long long, so we need a
	  separate
	  if def for it. But the code is already to complex here. For this
	  reason
	  I'm switching it to use stringstream. The wx functions are not
	  portable,
	  when using uint64_t as a type instead of long.

2016-01-20 23:40  fuscated

svn10670:  * wx3: Fix assert when the search mask in the
	  Find/Replace dialog is empty (fix formatting of the code around)

2016-01-18 23:58  fuscated

svn10669:  - build fix when using clang-3.7, it seems that it
	  doesn't like exception specifications

2016-01-17 14:42  mortenmacfly

svn10668:  - fixed wrong message in batch builds on errors

2016-01-17 13:58  fuscated

svn10667:  - ui: Fix compilation with wx28 and wx30

2016-01-17 13:58  fuscated

svn10666:  * ui: Use the app window in cbGetSingleChoiceIndex and
	  cbGetTextFromUser as parent when nullptr is passed

2016-01-17 13:58  fuscated

svn10665:  * ui: Replace calls to wxGetTextFromUser with a custom
	  function that allows sizing and proper placement (also add
	  missing PlaceWindow calls when the wxTextEntryDialog is used)

2016-01-17 13:58  fuscated

svn10664:  * ui: Replace calls to wxGetSingleChoiceIndex with a
	  custom function that allows sizing and proper placement

2016-01-17 13:58  fuscated

svn10663:  * ui: Limit the vertical resizing of the EditPath
	  dialog

2016-01-17 13:58  fuscated

svn10662:  * ui: Use a std dialog button sizer in the GDB's
	  breakpoints dialog

2016-01-17 13:58  fuscated

svn10661:  - ui: Remove strangely looking SetSize call, remove
	  the static line widget from Compiler flags dialog

2016-01-17 13:58  fuscated

svn10660:  - ui: Rename the OK button to Close in the configure
	  tools dialog

2016-01-17 13:58  fuscated

svn10659:  - ui: Remove a panel and a flexgridsizer from the
	  compiler flags dialog

2016-01-17 13:58  fuscated

svn10658:  * ui: Make the edit path sizable

2016-01-17 13:58  fuscated

svn10657:  - ui: wxSmith added some alingments

2016-01-17 13:58  fuscated

svn10656:  * ui: Replace box sizers with std dialog button sizers
	  where possible, also expand the sizers

2016-01-17 13:58  fuscated

svn10655:  * ui: Expand the std button sizers in order to make
	  the dialogs look better on wxGTK

2016-01-17 11:08  mortenmacfly

svn10654:  - add run parameters to executables in unix projct
	  file

2016-01-17 11:04  mortenmacfly

svn10653:  - not need to build a specific autorevision for unix
	  and wx30 (it does not use wx)

2016-01-17 10:40  fuscated

svn10652:  - autorevision: Fix project files to search for .git
	  and .svn in the root of the repo, not in the src folder

2016-01-17 10:40  fuscated

svn10651:  - autorevision: Use getline, because the operator>>
	  doesn't work reliably on linux (returns the string without the
	  closing comment)

2016-01-17 10:40  fuscated

svn10650:  - autorevision: Extract the time too

2016-01-17 10:40  fuscated

svn10649:  - autorevision: Rework the code to properly extract
	  the revision/date from git based checkouts

2016-01-16 15:42  mortenmacfly

svn10648:  - implemented some asserts to wxSmith to hunt crash
	  bugs on wx30 (no functional change)
	  - silence a compiler warning in wxSmith

2016-01-16 15:41  mortenmacfly

svn10647:  - CCTest: use "+" for PASS and "-" for FAIL in log
	  (like other analysis tools do as well)

2016-01-16 15:40  mortenmacfly

svn10646:  - new format for old formatted project files (Windows
	  only)

2016-01-16 15:40  mortenmacfly

svn10645:  - update of wxthings (no functional change)

2016-01-16 15:34  mortenmacfly

svn10644:  * re-factored CppCheck plugin: add PATH to cppcheck
	  executable if required before the call

2016-01-09 12:44  fuscated

svn10640:  * revert rev 10634 because matching all files for
	  plain text is wrong

2016-01-06 06:39  mortenmacfly

svn10639:  * applied (modified) patch by stahta01 to relax
	  wizards when searching for library files to link against, see:
	  http://forums.codeblocks.org/index.php/topic,20730.msg142215.html#msg142215

2016-01-03 04:15  alpha0010

svn10638:  - add some comments (no functional change)

2015-12-29 16:30  fuscated

svn10637:  - builds: Remove unused managedthread files

2015-12-29 16:30  fuscated

svn10636:  - warnings: Fix some warnings reported by clang
	  (mostly unused member variables)

2015-12-29 16:30  fuscated

svn10635:  - warnings: Remove checks if this is nullptr
	  
	  > According to the standard this is always valid pointer, so
	  checking if it
	  is equal to nullptr is undefined behaviour. This means that the
	  compiler
	  is free to do whatever it likes. And at least one compiler
	  (clang) is
	  known to remove such checks, leading to crashes. The proper fix
	  is to
	  check if the pointer is valid before calling the method! If you
	  observe
	  crashes after this commit please fix them properly and don't
	  revert this
	  commit!

2015-12-29 16:30  fuscated

svn10634:  * editor: Add lexer config for plain text files

2015-12-29 16:30  fuscated

svn10633:  * ui: Show file in the project tree command should
	  show the Management tab if it is closed

2015-12-29 16:30  fuscated

svn10632:  - sdk: Fix a spelling error

2015-12-28 09:55  mortenmacfly

svn10630:  * disable saving / loading editor layout by default
	  (due to a bug)

2015-12-20 19:01  mortenmacfly

svn10627:  - build-fix for non-PCH compilers (including wx30 and
	  wx30_64 workspaces)

2015-12-17 21:00  mortenmacfly

svn10625:  * make loading/restoring project/editor layout an
	  option

2015-12-13 06:07  mortenmacfly

svn10623:  * applied patch by darmar: parsing Gfortran v5.*
	  compiler messages in new v5.* format; option -fopenmp should be
	  applied at compiler and linker command line

2015-12-10 21:49  jenslody

svn10621:  linux autofoo: fix libwxmathplot LDFLAGS

2015-12-10 14:44  ollydbg

svn10620:  - CC: add many comments in the ClassBrowser class.

2015-12-10 14:43  ollydbg

svn10619:  - CC: remove unused member function in ClassBrowser
	  class.

2015-12-10 14:42  ollydbg

svn10618:  * CC: fix a parsing std namespace bug.
	  
	  When parsing the code snippet below:
	  namespace std _GLIBCXX_VISIBILITY(default)
	  {
	  class vector
	  {
	  size_t size();
	  }
	  }
	  Where the _GLIBCXX_PSEUDO_VISIBILITY is not defined, we need to
	  skip the whole
	  content after the "std", and before "{".
	  The solution is that we checks which token is skipped by the
	  SkipToOneOfChars
	  function. If it is the "{", then we need to still recognize it as
	  the
	  beginning of the namespace body.
	  
	  A test case is also added.
	  
	  See discussion in:
	  http://forums.codeblocks.org/index.php/topic,20729.msg141532.html#msg141532

2015-12-10 14:41  ollydbg

svn10617:  - SDK: fix build warning about "-Woverloaded-virtual",
	  see discussion:
	  
	  http://forums.codeblocks.org/index.php/topic,20607.msg140888.html#msg140888

2015-12-08 21:34  fuscated

svn10616:  * debugger: Fix issue #254 - make it possible to use
	  the stop button for the CDB debugger (thanks maras420)

2015-12-08 21:34  fuscated

svn10615:  * wx3: Fix the ValueTooltip autosizing. It was
	  disabled for wx29, but should work in all wx3.0, because my patch
	  should be in them

2015-12-07 19:01  mortenmacfly

svn10613:  * applied patch to remove and sync macro prefixes
	  (thanks White-Tiger)
	  * applied patch to make Cygwin compiler work with recent Cygwin
	  installations (thanks stahta01)

2015-12-07 06:10  jenslody

svn10612:  - Remove wxsSmithPlot from Code::Blocks
	  windows-workspace.

2015-12-07 05:40  jenslody

svn10611:  * Linux: fix for "make dist"

2015-12-07 05:32  jenslody

svn10610:  * wx{Smith}ContribItems/wxSmithPlot, wxMathPlot: move
	  wxMathPlot and it's counterpart wxSmithPlot in wxContribItems and
	  wxSmithContribItems.

2015-12-05 15:15  ollydbg

svn10609:  - CC: cleaning up a condition check.(Thanks frithjofh)
	  
	  The condition check !A || (A && B)
	  
	  is really the same as
	  
	  !A || B

2015-12-05 15:14  ollydbg

svn10608:  * SDK: fix a bug in configmanager.cpp which can lead
	  to undefined behaviour.
	  
	  Function used map.erase(iterator) and then tries to increment
	  that iterator
	  in the next loop and use it again. this is undefined according to
	  both wx
	  documentation and stl documentation. Replaced call to
	  map.erase(iter)
	  inside the loop with call to clear map.clear() after the loop.
	  Patch contributed by forum user frithjofh.

2015-12-05 15:13  ollydbg

svn10607:  - CC: adjust some comments

2015-12-05 15:07  ollydbg

svn10606:  - CC: more document added

2015-12-05 15:07  ollydbg

svn10605:  - SDK: add some document of cbThreadPool class

2015-11-28 14:10  pecanh

svn10604:  * Tools/configure/add - change description of
	  $$(macros) to $(macros)

2015-11-24 23:21  mortenmacfly

svn10601:  - landed at 15.12

2015-11-23 10:20  mortenmacfly

svn10600:  - whitespace, ability to distinguish between two
	  actually different error messages

2015-11-23 10:18  mortenmacfly

svn10599:  * Addr2LinUI: make use of new -C parameter for
	  addr2line (required for more recent GCC's)

2015-11-23 10:18  mortenmacfly

svn10598:  - updated project file for cb_share_config

2015-11-23 10:12  mortenmacfly

svn10597:  * fixed bug #261: cb_console_runner is started using
	  ambiguous path

2015-11-18 01:53  fuscated

svn10595:  - autotools: Fix make dist

2015-11-17 19:29  mortenmacfly

svn10591:  * assign CRTL+P to the "Print" command by default (why
	  wasn't this the case in the first place?!)

2015-11-17 19:27  mortenmacfly

svn10590:  - fixed mixed linefeeds in XRC resource file

2015-11-15 19:21  mortenmacfly

svn10589:  * fixed serious bug #249 Custom build commands broken
	  by nonsensical quotes (macros handling)
	  * fixed several further candidates for the same bug in other file
	  macros

2015-11-15 18:27  fuscated

svn10588:  - headerfixup: Fix compilation after r10584

2015-11-15 17:13  mortenmacfly

svn10587:  * applied patch #147 'Add file(s)' doesn't account for
	  all generated files for all target's compilers by scarphin

2015-11-15 17:09  mortenmacfly

svn10586:  - reverted wrong compiler in 64 bit project file for
	  wxSmith Plot plugin

2015-11-15 17:06  mortenmacfly

svn10585:  * applied patch #102 wxSmithPlot plugin fix by wekn
	  eve iknvwnv

2015-11-15 16:26  mortenmacfly

svn10584:  * Header Fixup plugin: applied patch #235: Partial STL
	  completion by Morwenn

2015-11-15 16:14  mortenmacfly

svn10583:  * astyle update to v2.0.6pre (required due to license
	  change)

2015-11-15 16:13  mortenmacfly

svn10582:  * astyle plugin: fixed saving some options did not
	  work, based on patch #183 by Li Minggang

2015-11-15 15:55  mortenmacfly

svn10581:  * project options manipulator plugin: support
	  replacements of (existing) custom vars, based on patch #253 by
	  bluehazzard

2015-11-15 09:51  mortenmacfly

svn10578:  - added more files to the release notes

2015-11-15 09:40  mortenmacfly

svn10577:  * added notes for releases

2015-11-15 09:17  mortenmacfly

svn10575:  * new version of crash handler (exchndl): more
	  resiliency against truncated PE files and log message when
	  loading symbols

2015-11-15 01:25  fuscated

svn10574:  - Fix compilation broken in r10573

2015-11-14 18:56  mortenmacfly

svn10573:  * project options manipulator plugin: fixed a bug when
	  operating linker libs
	  * project options manipulator plugin: fixed a bug when operating
	  resource compiler path's

2015-11-14 18:29  mortenmacfly

svn10572:  * CC: fix a re-entry crash in CC when calling compiler
	  to get compiler/platform parameters
	  * CC: avoid calling a compiler from within CC that does actually
	  not exist (avoids debug messages)
	  - some whitespace corrections

2015-11-14 17:55  pecanh

svn10571:  CodeCompletion - Tell parser internal threads to abort
	  when project is closed.

2015-11-14 16:53  fuscated

svn10570:  - wx30: Fix where the SmartIndent plugin searches for
	  libs on unix

2015-11-14 16:16  mortenmacfly

svn10569:  - whitespace & formatting

2015-11-14 16:09  mortenmacfly

svn10568:  - CC: method "GetTokenBaseType": make use of C++ casts
	  and wxIsspace in favor of comparison to space only (could also be
	  a tab or alike)

2015-11-14 16:03  mortenmacfly

svn10567:  * project options manipulator plugin: allow to limit
	  scope of operations to specific target types (allows e.g. to add
	  an option to executable targets only)

2015-11-14 14:06  jenslody

svn10566:  * wx3.0: fix "Fit toolbars" and "Optimize toolbars".
	  GetSize() gives incorrect (too small) results, if the toolbar is
	  partly outside the mainframe.
	  GetBestSize() gives correct results.
	  Take the gripper size into account, to determine the overall size
	  of a toolbar. Or the toolbar might be partly outside the
	  mainframe and some elemnts inside it are not shown.
	  Elements inside the toolbar that are not completely visible, will
	  not be shown at all with wx3.0 (at least not with wxGTK).

2015-11-14 14:06  jenslody

svn10565:  - Remove redundant call to DoFixToolbarsLayout(), it
	  gets already called from inside DoUpdateLayout() in the next
	  line.

2015-11-13 20:05  fuscated

svn10564:  * debugger: Fix issue with watches window column
	  auto-sizing (fixes ticket #153, patch by sbezgodov)

2015-11-11 23:57  fuscated

svn10563:  - wx30: Hopefully fix the capture mouse assert once
	  and forever (ticket #248)

2015-11-07 16:52  mortenmacfly

svn10561:  * even more compilation fixes, including some for
	  non-PCH/wx30 builds

2015-11-07 16:28  mortenmacfly

svn10560:  * fixed compilation errors on Windows builds
	  introduced in last commits

2015-11-07 13:33  ollydbg

svn10559:  - remove the DLLIMPORT from class InfoPane.
	  
	  We don't need to export this symbol from src/src/infopane.h
	  This fixes a regression introduced in rev10558.

2015-11-07 09:54  ollydbg

svn10558:  * SDK: add many DLLIMPORT or EVTIMPORT decorations for
	  class definition and function declarations.
	  
	  Currently, under Windows, all the symbols were exported from the
	  codeblocks.dll.
	  So, this commit doesn't change the symbol export method.
	  But in the future, only the symbols have DLLIMPORT or EVTIMPORT
	  decoration will be exported.
	  See discussions in our forum:
	  http://forums.codeblocks.org/index.php/topic,20607.msg140712.html#msg140712

2015-11-07 09:51  ollydbg

svn10557:  * CC: parser - reverted part of commit r10230 to fix
	  regression in parsing of 'if' condition. (Thanks Huki)
	  
	  For sample code:
	  void Function2(void)
	  {
	  int value1 = 0;
	  int value2 = 0;
	  
	  // hover on "value1"
	  if (!value1) {}
	  // hover on "value1" and "value2"
	  if (value1 && value2) {}
	  
	  // Above tooltips will be shown correctly because the statements
	  // within if(...), do(...), etc are NOT parsed entirely.
	  // Revision 10230 "fixes" this problem, but now, parsing these
	  statements
	  // introduces the following regression.
	  //if (!value1) {} // adds a new token "! value1"
	  //if (value1 && value2){} // adds a new token "value1&& value2"
	  }
	  Also, a new cctest case file is added.
	  Note that currently our CCTest system can only test code
	  completion in global mode
	  Which means the test cases inside the function body can't be
	  executed.
	  More detailed discussion can be found here:
	  http://forums.codeblocks.org/index.php/topic,19769.msg140689.html#msg140689
	  
	  - CCTest: add new test cases for some of the latest commits.

2015-11-07 09:49  ollydbg

svn10556:  * CC: parser - fixed handling of assignment within for
	  loop. (Thanks Huki)
	  
	  This is an enhancement on the original patch, see revision 8700,
	  and the related
	  patch page:
	  http://alpha0010.github.io/cb-history/patches/3345.html
	  See discussion here:
	  http://forums.codeblocks.org/index.php/topic,19769.msg140855.html#msg140855

2015-11-07 09:49  ollydbg

svn10555:  * CC: parser - fix for function pointer parsing with
	  assignment. (Thanks Huki)
	  
	  Parsing code pattern:
	  m_Str AAA (*BBB) (...) = some_function;
	  See discussion here:
	  http://forums.codeblocks.org/index.php/topic,19769.msg140852.html#msg140852

2015-11-01 09:18  jenslody

svn10554:  - Remove forgotten debug-statement, leading to a
	  build-error in non-pch mode.

2015-10-31 16:34  jenslody

svn10553:  * Bump sdk-minor-version due to recent changes to
	  cbauibook.h

2015-10-31 16:34  jenslody

svn10552:  - cbAuiNotebook: do not save tab-layout of files not
	  belonging to any project.

2015-10-31 16:34  jenslody

svn10551:  * cbAuiNotebook: only save tab-layout of the files
	  belonging to the project in a project-layout file; save all tabs
	  in a workspace-layout file (as before)

2015-10-31 16:34  jenslody

svn10550:  * cbProject: do not try to load non existing files;
	  should fix issue with automagically split editors, if some
	  source-files do not exist, but have fileOpen set to true.
	  See:
	  http://forums.codeblocks.org/index.php/topic,20667.msg140705.html

2015-10-31 11:21  fuscated

svn10549:  - wx30: Fix the build with wx3 that is build in STL
	  mode

2015-10-25 11:37  mortenmacfly

svn10548:  - correct mixed EOL in a few files

2015-10-25 11:34  mortenmacfly

svn10547:  - CC: more test cases, removed strange XRC tree list
	  entries

2015-10-23 23:03  jenslody

svn10546:  * Linux (Mac ?): fix 32bit build, needed after commits
	  10539 and 10540 (not tested on Mac), see:
	  http://forums.codeblocks.org/index.php/topic,20155.msg140603.html#msg140603

2015-10-23 23:03  jenslody

svn10545:  * Windows: fix incorrect base when converting string
	  to address

2015-10-23 12:53  mortenmacfly

svn10544:  * applied patch by scarphin to fix & issue with member
	  vars in new class wizard (see here:
	  http://forums.codeblocks.org/index.php/topic,19713.msg140583.html#msg140583)

2015-10-19 23:31  fuscated

svn10542:  - unix: Mark the update scripts as sh script, so they
	  can be run in the fish shell

2015-10-19 23:31  fuscated

svn10541:  * editor: Make it possible to override the whitespace
	  markers colour (thanks to yvesdm3000195)

2015-10-19 21:59  fuscated

svn10540:  - debugger: Use proper types in the API of the
	  Disassembly dialog (int and uint64_t)

2015-10-19 21:59  fuscated

svn10539:  * debugger: Improve experience with 64bit builds on
	  windows and wx2.8
	  
	  > Add a function to convert a string containing a hex number to
	  uint64_t.
	  > Make the address in the stackframe always to be 64bit, no
	  matter of the
	  way we've build Code::Blocsk (32 or 64 bit).
	  > Add cbStackFrame::GetAddressAsString which should be used to
	  convert the
	  address to string, because it knows how to do it properly.

2015-10-18 12:10  mortenmacfly

svn10538:  - do not use "xcopy /D" for crash handler as already
	  copied files might be already corrupt and would be skipped due to
	  newer date

2015-10-18 12:02  mortenmacfly

svn10537:  * fixed corrupting symsrv.dll of crash handler
	  (exchndl) due to stripping symbols

2015-10-18 10:13  fuscated

svn10536:  * ui: Limit the vertical resizing of the
	  EditBreakpoint dialog

2015-10-18 10:13  fuscated

svn10535:  - ui: Save the breakpoints.xrc with newest version of
	  wxSmith

2015-10-18 10:13  fuscated

svn10534:  * ui: Make 'Project dependencies' and 'About plugin'
	  dialogs to fit their content

2015-10-18 10:12  fuscated

svn10533:  - wx30: Fix assert for calling EndModal twice in the
	  compiler flags dialog

2015-10-18 07:04  mortenmacfly

svn10532:  - respect (wx)scintilla coding style

2015-10-18 07:03  mortenmacfly

svn10531:  * compiler: do no operate empty compiler options

2015-10-18 07:02  mortenmacfly

svn10530:  * improve compiler detection for Intel compiler suite

2015-10-15 07:06  fuscated

svn10529:  * scintilla: Fix issue #231 - don't allocate new ids
	  every time a timer is created or for every editor

2015-10-05 17:59  fuscated

svn10528:  * wx30: Fix assertion when using arrow keys in a
	  cbTreeCtrl (reported here
	  http://forums.codeblocks.org/index.php/topic,20629.0.html)
	  
	  > Just removing the code, the arrow keys seems to be working in
	  both wx2.8
	  and wx3.0.

2015-10-04 15:13  mortenmacfly

svn10527:  * applied patch by (?): Support for "scope" in class
	  wizard

2015-10-04 15:09  mortenmacfly

svn10526:  * removed invalid chars in source files (?!)

2015-10-04 14:58  mortenmacfly

svn10525:  * wxSmith: write correct (most recent) version number
	  to XRC files worked on with wxSmith to avoid "XML res files
	  version must match" warning

2015-10-04 14:56  mortenmacfly

svn10524:  * wxSmith: fixed bug as explained here:
	  http://forums.codeblocks.org/index.php/topic,20607.0.html

2015-10-04 10:05  fuscated

svn10523:  * wx30: Fix an assert when the project is modified
	  externally and the user clicks on an editor (fixes issue #186)

2015-10-04 01:23  fuscated

svn10521:  - compiler: Fix crash related to unspecified order of
	  initialization introduced in rev10519

2015-10-03 22:05  fuscated

svn10520:  * compiler: Fix wx30 asserts when trying to execute
	  empty make commands (fixes issue #219)
	  
	  > Print warnings/errors when empty commands are detected.
	  > Move most of the code in the DoCleanWithMake, so the code for
	  make
	  cleaning is in one single place.
	  > Rework the logging code for make commands to print in both the
	  build log
	  and build message, when appropriate.
	  > Extend CompilerGCC::LogMessage to support printing errors to
	  build
	  messages and also to support messages that start with
	  COMPILER_SIMPLE_LOG.

2015-10-03 22:05  fuscated

svn10519:  - compiler: Make all COMPILER_* macros global
	  constants in order to minimize the number of wxString
	  contructions

2015-10-03 22:05  fuscated

svn10518:  * wx30: Fix issue #221 - don't try to access deleted
	  notebook pages

2015-10-01 06:34  mortenmacfly

svn10515:  - SVN EOL style clean-up (thanks stahta01)

2015-10-01 06:04  mortenmacfly

svn10514:  * update scripts: don't forget to copy *.yes files
	  from devel to output folder (crash handler related, Windows only)

2015-10-01 05:29  mortenmacfly

svn10513:  * reverted two accidentally committed experimental
	  compiler flags for MSVC

2015-09-29 20:30  alpha0010

svn10512:  * correct remaining compiler settings regex
	  conditionals for GCC 5

2015-09-29 12:54  killerbot

svn10511:  * correct some regular expressions for compiler
	  settings

2015-09-29 11:50  mortenmacfly

svn10510:  * hunspell lib: Update to v1.3.3 for security fixes
	  and to fix crash with Korean keyboard

2015-09-29 11:48  mortenmacfly

svn10509:  * compiler: Support for -m32 and -m64 compiler switch
	  * compiler: Support for -std=c99 switch
	  * compiler: MSVC: Support for Multi-threaded Debug Runtime
	  Library, fixed non-debug switch

2015-09-28 21:46  killerbot

svn10508:  * gcc and clang : support -std=c++14

2015-09-27 19:09  fuscated

svn10507:  - debugger: Small optimization

2015-09-26 21:15  fuscated

svn10506:  * compiler: Add support for multi-line error messages
	  for gfortran (thanks Darmar,
	  http://forums.codeblocks.org/index.php/topic,20265.0.html)

2015-09-26 21:10  fuscated

svn10505:  * debugger: Better auto-sizing in the CPU registers
	  dialog
	  
	  > Use wxLIST_AUTOSIZE_USEHEADER on windows and in wx3.1, because
	  there it
	  resizes the column to fit both the header name and the longest
	  inserted
	  item. See wx issue http://trac.wxwidgets.org/ticket/10326 for
	  details.

2015-09-26 21:10  fuscated

svn10504:  * wx30: Fix an assert in the CPU registers dialog
	  
	  > Using %x as format specifier and a size_t variable causes the
	  assert.
	  > I've fixed this by removing the conversion and using wxStrings
	  all the
	  way.
	  > This changes the behaviour a bit, but I think it is for the
	  better. Now
	  instead of converting the value to unsigned int we show the value
	  returned by the debugger (only GDB).

2015-09-26 13:33  ollydbg

svn10503:  * CC: fix bug #224 Duplication in documentation
	  tooltip
	  
	  For a function Token which is shared by both the header file and
	  the
	  implementation file. When we reparse the implementation file, we
	  should
	  only refresh the doxygen document for the implementation file.
	  Currently
	  we don't have a mechanism to remember the documents for two
	  different
	  files.
	  
	  In this commit, I remove the m_TokenDocumentationMap in the
	  TokenTree class
	  while, I introduce two fields in Token class to record the two
	  piece of
	  the document in different files, and refresh only one piece if a
	  single
	  file get reparsed. This won't get document duplicated after the
	  reparse.
	  
	  See discussions here:
	  https://sourceforge.net/p/codeblocks/tickets/224/

2015-09-26 09:17  fuscated

svn10502:  * SDK: Add two new events that are fired when the
	  workspace is closed (details
	  http://forums.codeblocks.org/index.php/topic,20582.0.html)

2015-09-25 10:12  mortenmacfly

svn10501:  * harmonised the bzip2 library used in devpak, help
	  AND (wx)SpellChecker plugins

2015-09-25 10:10  mortenmacfly

svn10500:  * harmonised the bzip2 library used in devpak and help
	  plugins

2015-09-11 00:56  ollydbg

svn10499:  * CC: do not reset the recorded macro expansion stack
	  when it exceed the limit.
	  
	  Also, a test case is added.
	  If we have a macro definition "#define member FF.member", and
	  expanding the usage.
	  "FF" could also be expanded many times, which exceeds the
	  s_MaxMacroReplaceDepth
	  When initially expand the "FF.member", the anchor point is
	  recorded as below.
	  ^-----anchor point
	  This protect the "member" get expand again. But if we reset the
	  m_ExpandedMacros when
	  expanding the "FF", then the "member" will expand again, which
	  leads to infinite loop.
	  
	  This fix bug reported here:
	  http://forums.codeblocks.org/index.php/topic,20568.0.html

2015-09-10 15:09  ollydbg

svn10498:  - CC: document the
	  Tokenizer::CalcConditionExpression() function.

2015-09-10 14:45  ollydbg

svn10497:  - CC: remove the unused function
	  Tokenizer::SkipBlock()
	  
	  Because those kinds of functions are now in Parserthread class
	  Also fix typos in the comments

2015-09-10 14:17  ollydbg

svn10496:  - CC: tweak comments.

2015-09-08 06:06  ollydbg

svn10495:  * CC: Apply patch SF #199 suppression of the
	  multiasterisk comments
	  
	  Patch contributed by DmitryStu.
	  This patch suppresses interpretation of the comments styled as
	  
	  /********* not a doxygen comment ***********/
	  
	  or
	  
	  /********************************************/
	  /* also not a doxygen comment */
	  /********************************************/
	  
	  as a doxygen comment.
	  Unfortunately, comments in such style are found in third-party
	  includes.
	  See: https://sourceforge.net/p/codeblocks/tickets/199/

2015-09-08 05:31  ollydbg

svn10494:  * CC: fix bug #16 remove the __attribute__(xxx) in
	  function arguments
	  
	  We have those code snippet:
	  In header file:
	  void CodeCompletion::OnFindReferences(wxCommandEvent& event)
	  In implementation file:
	  void CodeCompletion::OnFindReferences(cb_unused wxCommandEvent&
	  event)
	  
	  Now, the cb_unused is expanded to __attribute__(__unused__)
	  Thus, those two function tokens can't be merged together since
	  their
	  compact function parameters are different.
	  We fix this issue by removing the __attribute__(...) in
	  ParserThread::GetBaseArgs() function.
	  See:
	  http://sourceforge.net/p/codeblocks/tickets/16/
	  Note that ParserThread::GetBaseArgs() function still has many
	  issues
	  need to be fixed.

2015-09-08 02:24  ollydbg

svn10493:  * CC: fix a bug that GetBaseArgs() stop on an inner
	  comma char.
	  
	  Here is an example:
	  input: (int* f1(char x, char y))
	  wrong output: (int*,char))
	  fixed output: (int*)
	  See discussion: https://sourceforge.net/p/codeblocks/tickets/16/

2015-09-08 02:23  ollydbg

svn10492:  - CC: add comments in the ParserThread::GetBaseArgs()
	  function body

2015-09-08 02:22  ollydbg

svn10491:  - CC: adjust document for GetBaseArgs() function.
	  
	  add default arguments as an example in comments

2015-09-08 02:21  ollydbg

svn10490:  - CC: minor adjust comments

2015-09-08 02:20  ollydbg

svn10489:  - CC: fix a typo in comment.

2015-09-08 02:18  ollydbg

svn10488:  * CC: when reading the function argument, add space
	  after inner ')'
	  
	  For example, before the commit, we get:
	  (__attribute__(xxx)int var)
	  After the commit, we get:
	  (__attribute__(xxx) int var)

2015-09-06 15:22  fuscated

svn10487:  * unix: Add Generic Name in the Desktop file (fixes
	  ticket #200)

2015-09-06 15:22  fuscated

svn10486:  * UI: Related to issue #207 - Add asserts to the
	  disconnect methods, so failures when disconnecting events will be
	  detected

2015-09-06 15:22  fuscated

svn10485:  - wx28: Fix assert that the parent menu parameter is
	  NULL

2015-09-05 12:57  ollydbg

svn10484:  * CC: fix bug that the * or & sign is removed in the
	  inserted text
	  
	  See report here:
	  http://forums.codeblocks.org/index.php/topic,20557.msg139846.html#msg139846

2015-09-05 12:56  ollydbg

svn10483:  * CC: Fix #210 "&" and "*" are differently parsed in
	  the CC's parser
	  
	  See: https://sourceforge.net/p/codeblocks/tickets/210/

2015-09-05 02:09  ollydbg

svn10482:  * SRC: fix tickets #207 Codeblocks crashes when right
	  click toolbars.
	  
	  See: http://sourceforge.net/p/codeblocks/tickets/207/

2015-09-05 02:08  ollydbg

svn10481:  * CC: add a space between '*/&' and the next token
	  when reading parentheses
	  
	  This is a regression of the macro expansion serial patches.
	  The bug is reported here:
	  http://forums.codeblocks.org/index.php/topic,20557.msg139846.html#msg139846

2015-09-05 02:07  ollydbg

svn10480:  - CC: remove the tailing space

2015-09-03 12:49  mortenmacfly

svn10479:  * fixed build of wxSmithConribItems under Windows with
	  project files

2015-09-03 10:02  mortenmacfly

svn10478:  * fixed issue as described here:
	  http://forums.codeblocks.org/index.php/topic,20459.0.html

2015-08-30 23:29  ollydbg

svn10474:  The dialog can't be resized because the
	  wxRESIZE_BORDER option is missing here, see:
	  http://forums.codeblocks.org/index.php/topic,20363.msg139248.html#msg139248

2015-08-30 23:29  ollydbg

svn10473:  - CC: move the keyword sets code after the "Code
	  Completion page".

2015-08-30 23:28  ollydbg

svn10472:  * CC: remove the macro replacement UI part in the CC's
	  setting dialog

2015-08-30 23:28  ollydbg

svn10471:  * CC: fix the cctest regression on
	  cc_function_ptr.cpp.
	  
	  This is because the Tokenizer::ReadParentheses() function add
	  some
	  extra spaces in the result, this make the handle function pointer
	  related code failed.
	  For example, it return the string
	  L"(* FuncArray [ 10 ] [ 20 ])". This commit change the string to
	  old format, which is L"(*FuncArray[10][20])".
	  See details in:
	  http://forums.codeblocks.org/index.php/topic,19769.msg138614.html#msg138614

2015-08-30 23:27  ollydbg

svn10470:  * CC: support macros in local scope (patch contributed
	  by huki)

2015-08-30 23:27  ollydbg

svn10469:  * CC: updated ComputeCallTip to remove macro
	  replacement
	  
	  we now do it at the tokenizer level (patch contributed by huki)

2015-08-30 23:26  ollydbg

svn10468:  * CC: handle comma char in ParserThread (patch
	  contributed by huki)

2015-08-30 23:25  ollydbg

svn10467:  * CC: fix variable parsing with "=" or "[]" (patch
	  contributed by huki)

2015-08-30 23:25  ollydbg

svn10466:  * CC: fix a bug that calculate the preprocessor
	  directive exceeds the EOL.

2015-08-30 23:24  ollydbg

svn10465:  * CC: clear up the Tokenizer state.
	  
	  We only need two states, one is the normal state, which returns
	  the
	  whole parentheses as a single token, the other will return them
	  as
	  a token list, which is used in handling preprocessor directives.

2015-08-30 23:23  ollydbg

svn10464:  * CC: fix a bug that in Parserthread, the token after
	  #undef is already expanded.
	  
	  The reason is that we always get the expanded token in the
	  Parserthread, so I move the HandleDefines() and HandleUndefs()
	  functions from Parserthread to Tokenizer class.

2015-08-30 23:22  ollydbg

svn10463:  - CC: comment added.

2015-08-30 23:22  ollydbg

svn10462:  - CC: code refactoring by using const wxString equal.

2015-08-30 23:21  ollydbg

svn10461:  - CC: code refactoring in Lex() function.

2015-08-30 23:20  ollydbg

svn10460:  - CC: remove unused code.

2015-08-30 23:20  ollydbg

svn10459:  * CC: use the macro expansion stack, this avoid
	  expanding the used macros. Set the macro expansion stack limit
	  value to 5, since I think 5 is enough.

2015-08-30 23:19  ollydbg

svn10458:  * CC: massive code remove about user defined macro
	  replacements(include the UI parts). We don't need them any more.
	  part 1

2015-08-30 23:18  ollydbg

svn10457:  - CC: add some comments and TODOs.

2015-08-30 23:18  ollydbg

svn10456:  * CC: remove the user token replace rules load and
	  save functions, we don't need them if we fully expand macros.

2015-08-30 23:17  ollydbg

svn10455:  - CC: clear up the debug log macro definition.

2015-08-30 23:17  ollydbg

svn10454:  * CC: when expanding function like macros, the actual
	  parameter doesn't exists, thus the expansion failed, we need to
	  reset the m_Lex, so that the caller don't get wrong token. Here
	  is an example:
	  
	  /**
	  * Command to setup an exception breakpoint (for a throw or a
	  catch).
	  */
	  class GdbCmd_SetCatch : public DebuggerCmd
	  {
	  wxString m_type;
	  int *m_resultIndex;
	  wxRegEx m_regExp;
	  public:
	  GdbCmd_SetCatch(DebuggerDriver *driver, const wxString &type, int
	  *resultIndex) :
	  DebuggerCmd(driver),
	  m_type(type),
	  m_resultIndex(resultIndex),
	  m_regExp(wxT("^Catchpoint[ \\t]([0-9]+)[ \\t]\\(") + type +
	  wxT("\\)$"), wxRE_ADVANCED)
	  {
	  m_Cmd = wxT("catch ") + type;
	  }
	  
	  void ParseOutput(const wxString& output)
	  {
	  if (m_regExp.Matches(output))
	  {
	  long index;
	  m_regExp.GetMatch(output, 1).ToLong(&index);
	  *m_resultIndex = index;
	  }
	  }
	  };
	  
	  The type is defined in the Squirrel build target, and the "type"
	  also exists in the function arguments.

2015-08-30 23:16  ollydbg

svn10453:  * CC: skip the [] brace when reading the var list.

2015-08-30 23:15  ollydbg

svn10452:  * CC: since the macro replacement is already done
	  inside the GetToken() function, so no need to check the macro
	  usage.

2015-08-30 23:14  ollydbg

svn10451:  * CC: Tokenizer::MoveToNextChar() function simplify.
	  Remove the parameter of this function, since if we move several
	  chars, the condition of checking new line is not correct.

2015-08-30 23:14  ollydbg

svn10450:  * CC: return "==" "=!" "=<" and "=>" as a single token
	  in the Lex() function.

2015-08-30 23:13  ollydbg

svn10449:  * CC: remove the parameter of bool
	  Tokenizer::SkipToEOL(bool nestBraces), since all the function
	  pass the false argument. Update all the caller.

2015-08-30 23:12  ollydbg

svn10448:  * CC: remove the first parameter of
	  Tokenizer::ReadToEOL, since we always don't count brace level.

2015-08-30 23:11  ollydbg

svn10447:  - CC: remove Tokenizer::SkipToOneOfChars(), since we
	  don't use it.

2015-08-30 23:11  ollydbg

svn10446:  * CC: improve the
	  Tokenizer::CalcConditionExpression(), since DoGetToken() always
	  return a valid expanded token.

2015-08-30 23:10  ollydbg

svn10445:  * CC: add a new function Tokenizer::Lex(), which only
	  do a simple lex without any macro replacement handling, and the
	  top level DoGetToken() function now get simplified. Also, in the
	  most top level function (GetToken() and PeekToken()), the
	  parentheses is read as a whole token. The
	  Tokenizer::ReadParentheses(wxString& str) function are simplified
	  and don't use the raw wxChar pointers, and make the code more
	  readable.

2015-08-30 23:09  ollydbg

svn10444:  * CC: handling [ ] in Parserthread instead of
	  Tokenizer. Also, avoid the recursive call of SkipUnwanted(), and
	  change it to a while loop.

2015-08-30 23:09  ollydbg

svn10443:  * CC: remove unused function HandleMacroExpansion().

2015-08-30 23:08  ollydbg

svn10442:  * CC: remove some macro usage check in the
	  ParserThread class, since the macro check and replacement are
	  done in lower level in Tokenizer class.

2015-08-30 23:07  ollydbg

svn10441:  * CC: remove dead code (after we enabled checking
	  macro usage for every identifier like token). Also if the
	  Tokenizer::ReplaceBufferText() function just substitute to an
	  empty string, we still need to fetch another token, so return
	  true in this case.

2015-08-30 23:06  ollydbg

svn10440:  * CC: handling the pattern "A B = f();", since we now
	  skip the right hand side of assignment in ParserThread class.

2015-08-30 23:06  ollydbg

svn10439:  * CC and CCTest: handle the skip assignment and
	  ternary operator in ThreadParse::DoParse() function, not
	  Tokenizer::SkipUnwanted() function, this can handle skipping of
	  {} correctly, especially when handling wx's Event handler macros.

2015-08-30 23:05  ollydbg

svn10438:  * CC and CCTest: disable the user defined token
	  replacement, and enable all the macro check on each identifier
	  like token.

2015-08-29 20:57  jenslody

svn10435:  * remove remaining wxALIGN_NOT's in xrc-file to avoid
	  warnings/asserts.

2015-08-29 20:49  jenslody

svn10434:  * NassiShneiderman-plugin: build fix for boost 1.59

2015-08-29 08:47  jenslody

svn10433:  * do not use wxALIGN_NOT, because it can not be parsed
	  by xrc-handler of wxWidgets unfortunately.

2015-08-29 08:47  jenslody

svn10432:  * wxSmith-plugin: do not return wxALIGN_NOT for
	  empty-flags, because xrc-handlers does not recognize it, use
	  wxALIGN_LEFT instead, because it's internally the same.

2015-08-29 08:47  jenslody

svn10431:  * wxSmith-plugin: allow wxALIGN_CENTER (2d-centered)
	  in sizeres again, as it is handled differently in wxWidgets, see:
	  http://forums.codeblocks.org/index.php/topic,20338.msg139153.html#msg139153;
	  align-flags with wxEXPAND are still forbidden, because wxEXPAND
	  will be ignored in this cases in wx3 and this will break layout.

2015-08-28 15:03  ollydbg

svn10430:  * CC: fix SF #198: document parsing error with doxygen
	  block comment.
	  
	  A special member variable m_ReadingMacroDefinition is introduced,
	  so that
	  when reading the doxygen comments(the ones after Token), we
	  translate
	  their kind as the one before Token.
	  
	  int aaa;
	  
	  Before: the "Mask A..." was wrongly attached to the Token aaa,
	  since
	  when reading the "Mask A...", the Token FOO is not constructed.
	  
	  See discussions in:
	  https://sourceforge.net/p/codeblocks/tickets/198/

2015-08-28 15:02  ollydbg

svn10429:  - CC: fix example code snippet in comment

2015-08-28 15:02  ollydbg

svn10428:  - CC: document cc's own file types.

2015-08-28 15:01  ollydbg

svn10427:  - CC: document the m_NextTokenDoc and m_LastTokenIdx
	  of Tokenizer class.

2015-08-28 15:00  ollydbg

svn10426:  - CC: various comments added for the timers and saved
	  variables in CC

2015-08-28 14:59  ollydbg

svn10425:  - CC: wrong comment fix: at least two cases will cause
	  a 0 project pointer
	  1, load a new project after start up
	  2, when close the app, all project is closed

2015-08-28 14:58  ollydbg

svn10424:  - CC: add documents mainly for class browser related
	  classes.

2015-08-28 14:57  ollydbg

svn10423:  - SDK: fix typo in comments

2015-08-28 14:56  ollydbg

svn10422:  * SDK: ccmanager: when m_CallTips get updated, also
	  update the iterator.
	  
	  This try to fix a crash reported here:
	  http://forums.codeblocks.org/index.php/topic,20489.0.html
	  Because if we don't update the iterator(m_CurCallTip), the
	  iterator becomes
	  a wild pointer.

2015-08-28 09:52  ollydbg

svn10421:  * wxContribItems plugin: use delete[] instead of
	  delete for pointer array.
	  
	  This fix the bug report
	  https://sourceforge.net/p/codeblocks/tickets/201/

2015-08-28 05:28  mortenmacfly

svn10420:  - follow up to r10418

2015-08-28 05:25  mortenmacfly

svn10419:  * updated SpellChecker: New hunspell version on
	  Windows (to comply with license), fixed project files, fixed
	  accessing invalid bitmap, added bitmap for Russian

2015-08-28 05:24  mortenmacfly

svn10418:  - no need to explicitly name base libs differently for
	  wx30 projects if they use the same compiler and do not use wx
	  libs at all (part 2, unix)

2015-08-27 09:42  mortenmacfly

svn10416:  - no need to explicitly name base libs differently for
	  wx30 projects if they use the same compiler and do not use wx
	  libs at all

2015-08-23 18:21  pecanh

svn10415:  * KeyBinder - place another guard around logging

2015-08-22 17:33  pecanh

svn10413:  * KeyBinder - Incorporate wx3.0 negative menu id's.
	  Old key definitions from wx2.x cannot be transfered to wx3.x.

2015-08-22 17:28  pecanh

svn10412:  * DragScroll - Avoid SIGFPE in wx3.0 mouseWheel event

2015-08-20 12:21  mortenmacfly

svn10411:  - avoid linker warnings/errors when linking to exchndl
	  (crash handler lib)

2015-08-20 12:16  mortenmacfly

svn10410:  * updated crash handler DLL

2015-08-20 12:12  mortenmacfly

svn10409:  * support for windows 10

2015-08-15 18:52  jenslody

svn10406:  * make-dist fix for new test-scripts.

2015-08-15 14:55  fuscated

svn10405:  * ui: Better multi monitor support for non windows
	  system (rework the code to use wxDisplay instead of native APIs)

2015-08-15 14:55  fuscated

svn10404:  * editors: Make 'Close all' and 'Close all except'
	  context menu commands to work a bit better when there are
	  multiple tab controls
	  
	  > The use case for this feature is:
	  - open many editors
	  - drag and drop editors in multiple tab controls - one tab ctrl
	  on the
	  left and one tab ctrl on the right, so you see two different
	  editors
	  simultaneously
	  - right click on an tab control
	  - select 'close all' or 'close all except'
	  
	  In the old version these commands will close all editors, but I
	  find it a
	  bit more useful if they close only the editors that are part of
	  the tab
	  control of the current editor.
	  
	  > This commit implements this behaviour. The File -> Close all
	  files
	  command should work as before. Only the editor notebook context
	  menu
	  commands should be affected by this change.

2015-08-15 14:55  fuscated

svn10403:  - EditorManager: Some clean-up

2015-08-15 13:44  fuscated

svn10402:  - build: Fix noPCH build, broken in 10389

2015-08-15 13:44  fuscated

svn10401:  * scripting: Expose wxArrayString::SetItem method, so
	  people can modify the contents of a wxArrayString

2015-08-15 13:44  fuscated

svn10400:  * wx3: Re-implement the wxArrayString::Item and
	  wxColour::IsOk in the squirrel bindings (fixes ticket #194)

2015-08-15 13:44  fuscated

svn10399:  - scripting tests: Reset global counts

2015-08-15 13:44  fuscated

svn10398:  - scripting: Add initial implementation of regression
	  testing of the scripting bindings (thanks bluehazzard)
	  
	  > To run the tests use the Script console to load any of the test
	  files and
	  then go to the Plugins -> Test scripting -> Test

2015-08-15 11:20  jenslody

svn10397:  * fix for (out-of-tree) "make dist" with wxWidgets >=
	  3.x

2015-08-15 08:49  jenslody

svn10396:  * Fix bug in debian install file, thanks Tim
	  (stahta01); see
	  http://forums.codeblocks.org/index.php/topic,20507.msg139520.html

2015-08-15 08:49  jenslody

svn10395:  - AutoVersioning-plugin: fix explicit sizes in xrc,
	  that got lost in commit 10274, see:
	  http://forums.codeblocks.org/index.php/topic,20491.msg139419.html

2015-08-15 08:49  jenslody

svn10394:  - ScriptedWizard-plugin: fix explicit size in xrc,
	  that got lost in commit 10270, see:
	  http://forums.codeblocks.org/index.php/topic,20491.msg139419.html

2015-08-15 08:49  jenslody

svn10393:  - core: fix explicit sizes in xrc, that got lost in
	  commit 10260, see:
	  http://forums.codeblocks.org/index.php/topic,20491.msg139419.html

2015-08-15 08:49  jenslody

svn10392:  - wxSmith-plugin: enable setting min and max size for
	  sizers.

2015-08-14 06:12  ollydbg

svn10391:  - SDK: add some comments in ccmanager.cpp

2015-08-12 05:44  ollydbg

svn10390:  - SDK: add comments in ccmanager.h/cpp

2015-08-12 00:18  fuscated

svn10389:  - Fix warning introduced in rev10383 (the check for
	  wxNOT_FOUND should never happen, but I'm adding it just in case)

2015-08-12 00:18  fuscated

svn10388:  - SqPlus: Fix out of bounds bug reported by the
	  address sanitizer (this time for real)

2015-08-10 14:29  ollydbg

svn10387:  * CC: apply jat1's patch(modified) to handle the
	  template alias.
	  
	  See discussion in
	  https://sourceforge.net/p/codeblocks/tickets/158/

2015-08-08 08:38  fuscated

svn10386:  - wx3: Fix another assert when deleting the last
	  target in the Project -> Properties dialog

2015-08-08 08:37  fuscated

svn10385:  - wx3: Fix an assert in ThreadSearch when calling
	  EnsureVisible and the previous results are cleared

2015-08-08 08:37  fuscated

svn10384:  - wx3: Fix issue #185: Assertion in popup menu
	  
	  > The old code have been reuisng the menu directly. This seems to
	  be a but,
	  so the new one clones it.
	  > The code to set the check on the menu items is extracted in a
	  separate
	  function.

2015-08-08 08:37  fuscated

svn10383:  - wx3: Fix an assert and possible crash when deleting
	  targets from a project
	  
	  > Issue have been reported here:
	  http://forums.codeblocks.org/index.php/topic,20363.msg139184.html#msg139184

2015-08-08 08:37  fuscated

svn10382:  - Revert rev10381: Fixing pointer related stuff is
	  obviously tricky
	  
	  > The original bug happens, because sizeof(cv)==1 when T==bool.

2015-08-05 19:00  fuscated

svn10381:  - SqPlus: Fix out of bounds bug reported by the
	  address sanitizer

2015-08-05 19:00  fuscated

svn10380:  - wx3: Fix an out-of-bounds assert when there are no
	  files in the list

2015-08-04 10:19  jenslody

svn10379:  - remove deprecated compression parameter from
	  builddeb-arguments (default is now xz)

2015-08-03 22:44  jenslody

svn10378:  * Debian build-system: do not include cached
	  autofoo-stuff in orig.tar-ball to avoid build errors, if the
	  tar-ball was created on a system with different versions.

2015-08-03 16:58  jenslody

svn10377:  * Partly revert commits 10353 and 10354

2015-07-29 16:01  d_anselmi

svn10376:  - compiler: add "no-rtti" and "no-threadsafe-statics"
	  to "c++ - only flags"

2015-07-29 15:52  d_anselmi

svn10375:  - SpellChecker: check C++ raw strings like the other
	  strings

2015-07-27 05:59  mortenmacfly

svn10373:  * added missing crash handler libraries (were hidden
	  on commit)

2015-07-26 17:12  mortenmacfly

svn10371:  * updated update scripts to install/make use of new
	  crash handler

2015-07-26 17:10  mortenmacfly

svn10370:  * updated to new crash handler DLL (win32/wx30x and
	  win64/wx30x)

2015-07-26 17:08  mortenmacfly

svn10369:  * updated to new crash handler DLL (this time win32,
	  win64 will follow)

2015-07-26 17:01  mortenmacfly

svn10368:  * added (moved) crash handler library to src folder,
	  updated to v0.7.5

2015-07-26 16:58  mortenmacfly

svn10366:  * created directory 'trunk/src/exchndl'

2015-07-26 08:13  jenslody

svn10363:  - Add executable-flag to lexer-bash.sample tomake
	  rpmlint happy (hope this can be done with git-svn).

2015-07-26 08:13  jenslody

svn10362:  - CodeSnippets-plugin: fix address od Free Software
	  Foundation in comment.

2015-07-26 08:13  jenslody

svn10361:  - BrowseTracker-plugin: fix address od Free Software
	  Foundation in comment..

2015-07-26 08:13  jenslody

svn10360:  - Fix spec-file template to make it more rpmlint
	  conform.

2015-07-26 08:13  jenslody

svn10359:  - Fix url in "BUGS", so it points to sf instead of
	  berlios,

2015-07-11 17:02  jenslody

svn10358:  - similar change as for debian build-system (see last
	  commit) for rpm-based systems. Should still work with wx2.8 and
	  still use "our" wxTreeListCtrl with wx2.8

2015-07-11 16:37  jenslody

svn10357:  - debian build-system: remove wxTreeList from
	  contrib-items from the install-files, because it is not longer
	  build on debian >= stable, because debian uses wx3.0

2015-07-11 13:48  jenslody

svn10356:  * Temporary (?) remove wxTreeList from wxContribItems
	  and wxSmithContribItems for wx30-builds to avoid a a name
	  conflict, because a trelistctrl is part of wx >= 2.9.3

2015-07-10 14:30  ollydbg

svn10355:  * CC: fix SF #158 Code completion fails with the
	  "using Alias = Type" syntax in C++11.
	  
	  Patch is from jat1. See:
	  http://forums.codeblocks.org/index.php/topic,20404.0.html
	  Also, a related test case is added.

2015-07-10 05:33  jenslody

svn10354:  - fix last commit: needed tab as seperator, but spaces
	  slipped in due to copy and paste

2015-07-10 05:20  jenslody

svn10353:  - debian build-system: do not call ./bootstrap when
	  creating sources, instead do it when preparing build-folder, this
	  avoids clashes with different versions of autotools

2015-07-09 20:38  jenslody

svn10352:  - wxSmith-plugin: remove accidently slipped in "run in
	  terminal"-flag from project-file

2015-07-09 20:38  jenslody

svn10351:  * wxSmith-plugin: fix for r10258

2015-06-30 05:17  jenslody

svn10349:  * readded accidently removed GenericSingleChoice.wxs

2015-06-28 13:33  ollydbg

svn10348:  - CC: add @code and @endcode around code snippet and
	  diagram in doxygen comments

2015-06-28 13:32  ollydbg

svn10347:  - CC: add the leading indentation char * to the
	  doxygen comment.

2015-06-28 13:31  ollydbg

svn10346:  - SDK: ccmanager: add some document about
	  GetAutocompList() related code.

2015-06-24 16:08  d_anselmi

svn10345:  - Lexer: add lexer configuration for Google Protocol
	  Buffer definitions

2015-06-24 05:53  ollydbg

svn10344:  - CCTest: add a test case for testing preprocessor
	  directives

2015-06-22 22:47  fuscated

svn10343:  - wx3: Fix assertion because of wrong types passed to
	  the printf like wxString::Format

2015-06-22 22:47  fuscated

svn10342:  * editor: Display just the name of the file by default
	  in the editor's notebook

2015-06-19 06:53  d_anselmi

svn10341:  - Lexer: highlight C++ raw strings like the other
	  strings

2015-06-19 06:25  ollydbg

svn10340:  - CC: some comments added about the class browser
	  related classes.

2015-06-19 04:10  ollydbg

svn10339:  * CC: apply #178 patch for element access functions
	  belonging to STL containers.
	  
	  Patch is contributed from SF user jat1.
	  Also, a test case and many comments are added.
	  This commit fix some cctest failures:
	  Before:
	  ********************************************************
	  Testing in file:
	  F:\cb_sf_git\trunk\src\plugins\codecompletion\testing\cc_function_decls.cpp
	  ********************************************************
	  *FAIL: vt[1]. GetInt
	  -PASS: g_S. i
	  -PASS: g_ g_S
	  -PASS: tc.Vo Void
	  -PASS: tc.St StaticVoid
	  -PASS: tc.GetC GetClass
	  -PASS: tc.GetI GetInt
	  -PASS: str. size
	  -PASS: str. length
	  --------------------------------------------------------
	  Total 9 tests, 8 PASS, 1 FAIL
	  --------------------------------------------------------
	  With the patch applied:
	  ********************************************************
	  Testing in file:
	  F:\cb_sf_git\trunk\src\plugins\codecompletion\testing\cc_function_decls.cpp
	  ********************************************************
	  -PASS: vt[1]. GetInt
	  -PASS: g_S. i
	  -PASS: g_ g_S
	  -PASS: tc.Vo Void
	  -PASS: tc.St StaticVoid
	  -PASS: tc.GetC GetClass
	  -PASS: tc.GetI GetInt
	  -PASS: str. size
	  -PASS: str. length
	  --------------------------------------------------------
	  Total 9 tests, 9 PASS, 0 FAIL
	  --------------------------------------------------------
	  Also, with the new added test case.
	  Before:
	  ********************************************************
	  Testing in file:
	  F:\cb_sf_git\trunk\src\plugins\codecompletion\testing\cc_stl_container_template_.cpp
	  ********************************************************
	  -PASS: mpp[]. m_aaa
	  -PASS: mpp.at(). m_aaa
	  *FAIL: pri.top(). m_aaa
	  *FAIL: que.front(). m_aaa
	  *FAIL: sta.top(). m_aaa
	  *FAIL: lis.front(). m_aaa
	  *FAIL: frw.front(). m_aaa
	  *FAIL: deq.front(). m_aaa
	  *FAIL: vec_ptr[]-> m_aaa
	  *FAIL: vec_ptr.at()-> m_aaa
	  *FAIL: vec[]. m_aaa
	  *FAIL: vec.at(). m_aaa
	  --------------------------------------------------------
	  Total 12 tests, 2 PASS, 10 FAIL
	  --------------------------------------------------------
	  With the patch applied:
	  ********************************************************
	  Testing in file:
	  F:\cb_sf_git\trunk\src\plugins\codecompletion\testing\cc_stl_container_template_.cpp
	  ********************************************************
	  -PASS: mpp[]. m_aaa
	  -PASS: mpp.at(). m_aaa
	  -PASS: pri.top(). m_aaa
	  -PASS: que.front(). m_aaa
	  -PASS: sta.top(). m_aaa
	  -PASS: lis.front(). m_aaa
	  *FAIL: frw.front(). m_aaa
	  -PASS: deq.front(). m_aaa
	  -PASS: vec_ptr[]-> m_aaa
	  -PASS: vec_ptr.at()-> m_aaa
	  -PASS: vec[]. m_aaa
	  -PASS: vec.at(). m_aaa
	  --------------------------------------------------------
	  Total 12 tests, 11 PASS, 1 FAIL
	  --------------------------------------------------------
	  Details can be see in:
	  https://sourceforge.net/p/codeblocks/tickets/178/

2015-06-19 01:50  ollydbg

svn10338:  - CC: add some description about how
	  NativeParserBase::ResolveExpression() works.

2015-06-19 01:50  ollydbg

svn10337:  - CC: add and fix wrong description about how
	  GenerateResultSet() works.

2015-06-19 01:49  ollydbg

svn10336:  - CCTest: add some description about how to use
	  CC_PARSER_TEST macro.

2015-06-19 01:49  ollydbg

svn10335:  - CCTest: regenerate the wxsmith code to avoid
	  warnings in wx3.x.

2015-06-19 01:48  ollydbg

svn10334:  * CCTest: add include search paths after the UI part
	  gets initialed.
	  
	  When test the SF #178, I found that include files are not parsed.
	  This commit fixes this bug, and also make some code clean up.
	  When running
	  the test, we should first setup the UI, then setup the Parser's
	  include
	  search paths.

2015-06-13 10:33  fuscated

svn10333:  * EnvVar: Make the fix from r10309 be conditionally
	  compiled only for non wxMSW2.8 builds(thanks stahta01)
	  
	  > It seems that wxCheckedListBox doesn't support client data when
	  compiled
	  with wxMSW 2.8. This limitation seems to be fixed in wxMSW 3.0.
	  > This means that the fix from r10309 is compiled only for 3.0
	  when using
	  wxMSW.

2015-06-12 06:27  ollydbg

svn10332:  - CCTest: add a cc test case that a function
	  declaration return a enum type.

2015-06-12 05:28  ollydbg

svn10331:  - CCTest: add test case for SF ticket #127 Code
	  completion fails with complex declarations/definitions

2015-06-12 04:56  ollydbg

svn10330:  - CCtest: add a new CC test case for SF ticket #175 CC
	  patch for typedef declarations in class templates, which has
	  already fixed in r10328.

2015-06-11 22:33  jenslody

svn10329:  * Fix bug in wxSmith, see
	  http://forums.codeblocks.org/index.php/topic,20338.msg138489.html#msg138489
	  Thanks ouch for reporting it !

2015-06-10 07:49  ollydbg

svn10328:  * CC: SF ticket 175, solve typedef declarations in
	  class templates.
	  
	  This patch is contributed by SF user jat1.

2015-06-09 22:50  jenslody

svn10327:  - find-replace-dialog: fix "warning: logical not is
	  only applied to the left hand side of comparison
	  [-Wlogical-not-parentheses]"-message with gcc5

2015-06-09 22:50  jenslody

svn10326:  - environmentsettings-dialog: fix incorrect comparison
	  between enum and bool.

2015-06-09 22:50  jenslody

svn10325:  * BrowseTracker-plugin: "make dist"-fix; missing
	  images iand xrc-file

2015-06-09 22:50  jenslody

svn10324:  * fix for pch-creation with gcc 5.x

2015-06-06 15:22  ollydbg

svn10323:  - Debugger: fix build warning about wrong member
	  initialization order.

2015-06-05 07:57  fuscated

svn10322:  * script_plugin: Fix creating multiple menus from a
	  script plugin
	  
	  > Bug reported here
	  http://forums.codeblocks.org/index.php/topic,20318.msg73202/topicseen.html

2015-06-05 02:04  ollydbg

svn10321:  * CC: fix SF ticket 41 CC can`t parse defines with
	  Doxygen single-line comment.
	  
	  This fixes the doxygen document and token synchronization issue
	  that hasn't
	  fixed in commit r9905. When reading a macro definition which ends
	  by a C++
	  comments, we should stop the parsing before the "//" chars, so
	  that the
	  doxygen document can be added correctly to previous added Macro
	  definition token.
	  See details in:
	  https://sourceforge.net/p/codeblocks/tickets/41/

2015-06-03 23:03  fuscated

svn10320:  - wx3: Fix assert in the code that shows the include
	  file completion

2015-06-03 23:03  fuscated

svn10319:  - wx3: Fix assert when opening the Open file list
	  panel

2015-06-03 23:03  fuscated

svn10318:  * debugger: Fix reading uninitialized variables
	  reported by valgrind (possible fix to a crash at exit)

2015-06-02 22:13  ollydbg

svn10317:  - CC: improve code comments for r10316. (Thanks jat1)

2015-06-02 00:30  ollydbg

svn10316:  * CC: fix bug #137 Code completion - code without
	  "using namespace" - don't shows class members.
	  
	  We can handling three cases:
	  (1) using namespace A;
	  (2) using namespace A::B;
	  (3) using A::B;
	  Before this commit, we can only handle case (1) and (2)
	  Patch contributed by sf user jat1. See:
	  https://sourceforge.net/p/codeblocks/tickets/137/

2015-05-28 20:22  jenslody

svn10315:  - Debian control-file add libwgtk3.0-dev to
	  suggests-list.

2015-05-25 23:00  jenslody

svn10314:  - Better wx3-fix for Debian (hopefully),
	  enhancing/changing svn r10310.

2015-05-25 11:37  jenslody

svn10313:  - Debian build-system: remove hard to maintain
	  dependency for libstdc++6, it's a dependency of the used g++
	  anyway.

2015-05-25 11:37  jenslody

svn10312:  - Another fix for Debian build-system with wx3.

2015-05-25 11:31  jenslody

svn10311:  - Fix for "make dist" with wx3

2015-05-25 11:31  jenslody

svn10310:  - Fix for Debian buildsystem with wx3.0.

2015-05-24 05:44  fuscated

svn10309:  * EnvVar: Apply the same fix as the one for compiler
	  variables to the env var settings

2015-05-24 05:44  fuscated

svn10308:  * CC: Apply the same fix as the one for compiler
	  variables to the CC options

2015-05-24 05:44  fuscated

svn10307:  * EditPairDlg: Make sure it is impossible to enter
	  invalid values for keys
	  
	  > Valid characters are alphas, numbers and '_'.
	  > I think all users of this class will benefit from this
	  behaviour, if this
	  is not the case we can easily make this optional.

2015-05-24 05:44  fuscated

svn10306:  * build_options: Make it possible to delete any
	  compiler variable (fixes ticket #161)
	  
	  > The problem happened because the code used string parsing to
	  find the key
	  and value.
	  > This is obviously fragile, so I've replaced it with client data
	  objects.
	  > This commit doesn't prevent the user from entering invalid
	  data!

2015-05-18 18:52  fuscated

svn10305:  - SmartIndent: Fix host application path

2015-05-18 18:52  fuscated

svn10304:  - projects: Add all wxs file to the src target for the
	  wx30-unix project

2015-05-18 18:52  fuscated

svn10303:  * watches: Detect if the OnPropertySelected is called
	  for the unselected property
	  
	  > This is a recent change in wxtrunk and it causes assertions and
	  probably
	  crashes.

2015-05-16 08:05  ollydbg

svn10302:  * CC: fix #143 Code completion fails with function-try
	  blocks.
	  
	  Patch contributed by SF user jat1, there is also a very detailed
	  test file, see: https://sourceforge.net/p/codeblocks/tickets/143/

2015-05-16 07:27  ollydbg

svn10301:  - CC: code refactoring in ClassBrowser related class.
	  
	  1, remove unused functions from ClassBrowser class.
	  2, remove unused idCBViewModeFlat from ClassBrowser class.
	  3, tweak comments for ClassBrowser class.
	  4, remove unused IDs.

2015-05-15 18:57  jenslody

svn10300:  * build fix for autoconfs less tham 2.64; linker-flag
	  test-macro will ignore the tested flag and write an appropriate
	  message when running configure-script.

2015-05-15 12:41  jenslody

svn10299:  * Removing incorrect parenthesis in last commit, oops,

2015-05-15 12:35  jenslody

svn10298:  * LibraryFinder-plugin: windows build-fix

2015-05-15 11:00  jenslody

svn10297:  - Valgrind-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:59  jenslody

svn10296:  - ThreadSearch-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10295:  - SpellChecker-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10294:  - ReopenEditor-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10293:  - RegExTestbed-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10292:  -ProjectOptionsManipulator-plugin: alignment fixes to
	  avoid asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10291:  - Profiler-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:58  jenslody

svn10290:  - LibraryFinder-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10289:  - IncrementalSearch-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10288:  - HexEditor-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:58  jenslody

svn10287:  - Help-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:58  jenslody

svn10286:  - HeaderFixup-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:58  jenslody

svn10285:  - FileManager-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:58  jenslody

svn10284:  - EnvVars-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:58  jenslody

svn10283:  - EditorTweaks-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10282:  - EditorConfig-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:58  jenslody

svn10281:  - DragScroll-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:57  jenslody

svn10280:  - DoxyBlocks-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:57  jenslody

svn10279:  - Cscope-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:57  jenslody

svn10278:  - CppCheck-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:57  jenslody

svn10277:  - CodeStats-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:57  jenslody

svn10276:  - cbGames-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:57  jenslody

svn10275:  - BrowseTracker-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:57  jenslody

svn10274:  - AutoVersioning-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:57  jenslody

svn10273:  - wxSmithAui-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:57  jenslody

svn10272:  - wxSmith-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:57  jenslody

svn10271:  - ToDo-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:57  jenslody

svn10270:  - ScriptedWizard-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:56  jenslody

svn10269:  - OccurrencesHighlight-plugin: alignment fixes to
	  avoid asserts and broken layout with wx3

2015-05-15 10:56  jenslody

svn10268:  - DefaultMimeHandler-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:56  jenslody

svn10267:  - Debugger-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:56  jenslody

svn10266:  - Compiler-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:56  jenslody

svn10265:  - CodeCompletion-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:56  jenslody

svn10264:  - Autosave-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:56  jenslody

svn10263:  - ClassWizard-plugin: alignment fixes to avoid asserts
	  and broken layout with wx3

2015-05-15 10:56  jenslody

svn10262:  - Astyle-plugin: alignment fixes to avoid asserts and
	  broken layout with wx3

2015-05-15 10:56  jenslody

svn10261:  - Abbreviations-plugin: alignment fixes to avoid
	  asserts and broken layout with wx3

2015-05-15 10:56  jenslody

svn10260:  - core: alignment fixes to avoid asserts and broken
	  layout with wx3

2015-05-15 10:56  jenslody

svn10259:  - SpellChecker-plugin: wx3 fix warning assert because
	  of incorrect iCCP-color

2015-05-15 10:56  jenslody

svn10258:  * wxSmith-plugin: fix wxSizerFlagsProperty, vertical
	  align is not allowed inside vertical sizers, horizontal align is
	  not allowed inside horizontal sizers, wxEXPAND is not allowed
	  together with alignmenta nd breaks layout in most recent
	  wxWidgets.

2015-05-15 10:56  jenslody

svn10257:  - remove non-existing wxs-file from wxSmith-projects,
	  see:
	  http://forums.codeblocks.org/index.php/topic,13556.msg91299.html#msg91299

2015-05-15 10:55  jenslody

svn10256:  - clean-up of Makefile.am for pch's

2015-05-15 10:55  jenslody

svn10255:  * build fix for MacOSX

2015-05-15 10:55  jenslody

svn10254:  - check whether the linker accepts "--no-undefined",
	  should fix https://sourceforge.net/p/codeblocks/tickets/9
	  correctly

2015-05-05 16:52  killerbot

svn10253:  * Ticket 166 Build failure with gcc 5 and C++11
	  (nullptr macros incorrect)

2015-05-05 16:49  killerbot

svn10252:  patch Doxyblocks-unix.cbp file, thanks Tim

2015-05-02 15:49  mortenmacfly

svn10251:  * reverse behaviour on CTRL+mouse wheel to be
	  consistent with other major apps and wx trunk, see here:
	  https://sourceforge.net/p/codeblocks/tickets/21

2015-05-02 15:21  mortenmacfly

svn10250:  - compilation fix for the Mac (see here:
	  https://sourceforge.net/p/codeblocks/tickets/10) - not the best
	  to do, but as we are "mac blind" it is the best we can do at the
	  moment and it does not interfere with other stuff

2015-05-02 15:17  mortenmacfly

svn10249:  - fix broken compilation on the Mac (see here:
	  https://sourceforge.net/p/codeblocks/tickets/9)

2015-05-02 15:13  mortenmacfly

svn10248:  - hopefully fix a compiler error on Mac (see
	  https://sourceforge.net/p/codeblocks/tickets/8)

2015-05-02 15:07  mortenmacfly

svn10247:  - cb_share_config: fixed missing include for non-pch
	  compiler (see here:
	  https://sourceforge.net/p/codeblocks/tickets/12)

2015-05-02 14:47  mortenmacfly

svn10246:  * context menu search (CTRL + right click in editor):
	  removed obsolete google code search, added StackOverflow and
	  CodeProject search

2015-05-02 14:45  mortenmacfly

svn10245:  * cb_koders: Fix for non working ohloh search (ohloh
	  was koders is now openhub/BlackDuck)

2015-05-02 14:41  mortenmacfly

svn10244:  * Addr2Line: support for 64 bit crash logs -> keep in
	  mind to also use a 64bit addr2line util (from 64bit GCC/MinGW
	  compiler) in that case

2015-05-01 13:06  mortenmacfly

svn10243:  - cctest: show and raise frame so it becomes actually
	  visible on Windows

2015-05-01 13:03  mortenmacfly

svn10242:  * cctest: fix debug assertions on wx30, 64 bit
	  - cctest: favour wxT instead of _T (as proposed by wxWidgets)

2015-05-01 12:54  mortenmacfly

svn10241:  * make Addr2LineUI work with new exchndl

2015-05-01 09:23  mortenmacfly

svn10240:  * fixed bug #52: "You can't change the number of
	  parallel processes while building!" message shown in error
	  (https://sourceforge.net/p/codeblocks/tickets/52/)

2015-04-27 06:48  mortenmacfly

svn10238:  - help plugin: harmonise project files

2015-04-27 06:44  mortenmacfly

svn10237:  * CC: include *.hh, *.hxx and *.h++ for parsing by
	  default

2015-04-26 20:59  killerbot

svn10236:  * correct static library command for clang

2015-04-26 20:56  killerbot

svn10235:  * final and override back in the lexer for colorcoding

2015-04-26 09:59  jenslody

svn10234:  * wxSmith-plugin: remove deprecated and never used
	  Shadow and Bezel related code from wxsGauge.*
	  It breaks build in wx-master and never did anything in older
	  revisions, so removing it completely avoids #ifdef's without
	  breaking anything (hopefully).

2015-04-26 09:59  jenslody

svn10233:  - HelpPlugin: build-fix with wx30 (synchronize wx2.8
	  and 2x30 project-file)

2015-04-26 04:26  mortenmacfly

svn10231:  * updated forgotten wxSmith file wrt r10194

2015-04-24 14:23  ollydbg

svn10230:  * CC: fix ticket #145 Code completion ignores
	  parameters of catch-clauses.
	  
	  This patch is made by jat1 and me, see discussion in link:
	  https://sourceforge.net/p/codeblocks/tickets/145/

2015-04-23 06:11  mortenmacfly

svn10229:  - silence compiler warning
	  - make sure not accessing NULL pointer (stc control)

2015-04-23 04:12  alpha0010

svn10228:  * CCManager: defer showing calltip to next event loop
	  in attempt to fix crash on race condition

2015-04-22 05:37  mortenmacfly

svn10226:  * fixed memory leak as described here:
	  http://forums.codeblocks.org/index.php/topic,20221.0.html (thanks
	  frithjofh)

2015-04-18 14:24  mortenmacfly

svn10225:  * project options manipulator plugin: fixed some UI
	  glitches and wx debug warnings therefore

2015-04-18 14:08  mortenmacfly

svn10224:  * project options manipulator plugin: added support to
	  replace in options (making use of new API)
	  * project options manipulator plugin: honour option "Contains"
	  for "add" and "remove" option correctly
	  * project options manipulator plugin: should be pretty much
	  feature complete now :-)

2015-04-18 13:12  mortenmacfly

svn10223:  * introduced new API to cbProject/cbTarget:
	  ReplaceXXX[Option/Dir] which replaces an option w/o changing the
	  order of the options list

2015-04-14 03:05  ollydbg

svn10218:  * CC: fix ticket #154 Code Completion problem with
	  some wx classes.
	  
	  This actually fixes a bug introduced in r9642. So, we fix it by
	  not
	  eating the tokens after the handling of emulator. See discussions
	  in C::B forum:
	  http://forums.codeblocks.org/index.php/topic,20175.0.html
	  Thanks Huki for the contribution.

2015-04-12 22:40  ollydbg

svn10217:  - CCTest: add SVN EOL property setting to those
	  testing files.

2015-04-12 13:26  mortenmacfly

svn10216:  - project options manipulator plugin: silence a
	  compiler warning

2015-04-12 13:24  mortenmacfly

svn10214:  * project options manipulator plugin: support resource
	  compiler options
	  * project options manipulator plugin: add support to remove
	  project files that are not assigned to a target

2015-04-12 12:19  mortenmacfly

svn10212:  - updated SVN ignore pattern

2015-04-12 09:27  mortenmacfly

svn10209:  * applied (modified) version of patch
	  https://sourceforge.net/p/codeblocks/tickets/155/
	  * re-organised editor settings to make the grouping more logical

2015-04-12 08:15  mortenmacfly

svn10208:  * added Jing and validation script to SVN (tools)

2015-04-12 08:13  mortenmacfly

svn10207:  - removed SVN version information from XRC files

2015-04-12 08:12  mortenmacfly

svn10206:  * fixed XRC files after validating with Jing against
	  RELAX NG (see:
	  http://wxwidgets.blogspot.de/2015/04/validating-xrc.html), using
	  java -jar jing-20150407.jar -c https://www.wxwidgets.org/wxxrc
	  *.xrc

2015-04-11 05:53  ollydbg

svn10205:  * CC: parser - fix support for typedef pointer.
	  
	  This commit fixes the tooltip error, and also it fixes the
	  parsing of
	  the typedef function pointer. Discussions can be found in C::B
	  forum:
	  http://forums.codeblocks.org/index.php/topic,19278.msg137652.html#msg137652
	  Thanks Huki for the help.

2015-04-11 05:52  ollydbg

svn10204:  * CC: support for function pointer arrays.
	  
	  This commit fixes the failure of the cctest case below:
	  ********************************************************
	  Testing in file: codecompletion\testing\cc_function_ptr.cpp
	  ********************************************************
	  *FAIL: Fun FuncArray
	  -PASS: foo foo
	  --------------------------------------------------------
	  Total 2 tests, 1 PASS, 1 FAIL
	  --------------------------------------------------------
	  Thanks Huki for the contribution.

2015-04-11 05:52  ollydbg

svn10203:  - CCTest: add a test case for the typedef pointer.
	  Thanks Huki.

2015-04-11 05:51  ollydbg

svn10202:  - CCTest: add a test case for array of function
	  pointer. Thanks Huki.

2015-04-09 14:09  ollydbg

svn10200:  * CC: fix compiler predefined macros collection under
	  Linux.
	  
	  Patch from Huki, we should use slack under Linux, and backslash
	  under Windows. Discussion can be found in
	  http://forums.codeblocks.org/index.php/topic,20183.msg137601.html#msg137601.

2015-04-08 09:30  ollydbg

svn10199:  - SDK: thread pool: fix a typo in comment

2015-04-08 08:30  ollydbg

svn10198:  * CC: fix a copy-paste error introduced in r10189.
	  
	  See discussion on
	  http://forums.codeblocks.org/index.php/topic,20183.0.html.

2015-04-07 19:39  mortenmacfly

svn10197:  - some more SVN property (EOL and keyword
	  substitution) changes

2015-04-07 19:30  mortenmacfly

svn10196:  - CCManager: exit function if event object is NULL
	  before casting it

2015-04-07 19:28  mortenmacfly

svn10195:  - some more SVN property (EOL and keyword
	  substitution) changes

2015-04-07 19:24  mortenmacfly

svn10194:  * CC: added ability to inspect pre-defined macros in
	  CCDebugInfo dialog ('for... erm... debugging)
	  - CC: silence two compiler warnings

2015-04-07 12:41  mortenmacfly

svn10193:  - astyle: fixed resource wrt complaints here:
	  http://forums.codeblocks.org/index.php/topic,20176.0/topicseen.html

2015-04-07 00:34  ollydbg

svn10192:  - CC: add the eol property=native to those two files.
	  
	  I noticed that there were massive code line feed changes in the
	  r10183, and further research found that those files were added in
	  r9920 but missing eol property at that time.

2015-04-06 19:35  fuscated

svn10191:  - builds: Fix noPCH build broken by r10176

2015-04-06 13:29  mortenmacfly

svn10190:  - spelling

2015-04-06 13:25  mortenmacfly

svn10189:  * CC: avoid adding #defines to the parser that are not
	  supported by the platform (same as r10183 but for compiler
	  options)
	  * CC: should hopefully fix broken colouring for mixed platform
	  projects (e.g. one project with targets for Linux and Windows)
	  * CC: fix MSVC processor architecture detection for more recent
	  MSVC compilers

2015-04-06 11:50  mortenmacfly

svn10188:  * major improvement to astyle plugin: make it fully
	  (options-) compatible with v2.05.1

2015-04-06 08:52  mortenmacfly

svn10186:  - alphabetical sorting

2015-04-06 08:48  mortenmacfly

svn10185:  * issue at least a warning if th wizard could not load
	  an XRC resource file

2015-04-06 08:46  mortenmacfly

svn10184:  - remove unused variable
	  - style/formatting

2015-04-06 08:42  mortenmacfly

svn10183:  * CC: avoid scanning for include folders of
	  compilers/project/targets not supported by current platform (can
	  be toggled trough settings, default is ON)
	  * CC: fix calling package scripts on platforms where these are
	  not supported and may cause a freeze

2015-04-05 13:23  ollydbg

svn10182:  - CC: add and tweak some comments.

2015-04-05 13:22  ollydbg

svn10181:  - CC: change the function AddPaths() to a static
	  member function

2015-04-05 13:22  ollydbg

svn10180:  - CC: remove unused member functions in NativeParser
	  class.

2015-04-05 13:21  ollydbg

svn10179:  - CC: remove unused member variables in NativeParser
	  class.

2015-04-05 13:20  ollydbg

svn10178:  - CC: document NativeParser::GetCallTips().

2015-04-05 13:20  ollydbg

svn10177:  - CC: use the Doxygen style format commands in the
	  comments.

2015-04-04 15:51  fuscated

svn10176:  * compiler: Correctly delete multiple selected search
	  directories (fixes ticket #151)

2015-04-04 14:26  mortenmacfly

svn10175:  * pumped astyle to v2.06
	  * removed obsolete astyle options (no longer working correctly)
	  * added new astyle options and formatters

2015-04-04 14:08  fuscated

svn10174:  * Don't open file passed on a command line more than
	  once (fixes ticket #133)

2015-04-02 07:25  mortenmacfly

svn10173:  * updated SVN::externals link of the FortranProject
	  contrib plugin to
	  https://svn.code.sf.net/p/fortranproject/code/trunk as the
	  repository has moved

2015-04-01 09:01  mortenmacfly

svn10172:  * added SVN::external to include PythonPlugins into
	  the C::B source tree

2015-03-31 06:40  mortenmacfly

svn10169:  - removed old, obsolete exchndl from build system

2015-03-31 06:38  mortenmacfly

svn10168:  - removed old, obsolete exchndl from src folder

2015-03-30 15:09  mortenmacfly

svn10163:  - changed old wxwindows link in XRC file to proper
	  wxwidgets one (avoid warnings in some debug builds)

2015-03-30 15:09  mortenmacfly

svn10162:  * remove self-compiled exchndl library (exception
	  handler) in favour of a working version for win32 and win64 (will
	  arrive soon)

2015-03-25 06:55  ollydbg

svn10158:  - CC: remove unused function declarations, add and
	  tweak comments. (no functional changes)

2015-03-18 15:00  ollydbg

svn10157:  * Thread Search Plugin: fix thread safety issue in
	  ThreadSearchEvent class.
	  
	  I notices the class ThreadSearchEvent which carries information
	  from worker
	  thread to GUI main thread does not clone it self correctly, which
	  leads to
	  crash. This is the same issue we have introduced a fix in
	  revision 9311 and
	  revision 9403. See further discussions in:
	  http://forums.codeblocks.org/index.php/topic,20104.0.html

2015-03-18 14:59  ollydbg

svn10156:  - CC: add comment for function AutocompGetName().

2015-03-18 14:58  ollydbg

svn10155:  * CC: fix handling of struct pointer typedef. (patch
	  from SF user jat1)
	  
	  This fixes the cctest failure of cc_typedef_pointer.cpp. (SF
	  Ticket #34)

2015-03-18 14:58  ollydbg

svn10154:  - CCTest: add a test case about struct pointer
	  typedef. (SF ticket #34)

2015-03-18 14:57  ollydbg

svn10153:  * CC: work around a failure when handling stringize
	  operator.
	  
	  We should not run stringize operation when the hash char "#" is
	  inside a
	  string literal. This is just a work around, because we just test
	  whether
	  a non-space string after # is an alphabetic char. It fixes the
	  failure of
	  test file cc_macro_expansion_stringize.cpp. Discussions can be
	  found:
	  http://forums.codeblocks.org/index.php/topic,19791.msg137040.html#msg137040

2015-03-18 14:56  ollydbg

svn10152:  - CCTest: add a test case for stringize tokens.

2015-03-18 07:01  mortenmacfly

svn10151:  * added batch build for 64 bit (was missing in SVN),
	  Windows only.

2015-03-18 07:00  mortenmacfly

svn10150:  * Addr2Line: fixed that path to "addr2line" command is
	  changed with replace operation (in case both, MinGW and the devel
	  folder share one root)

2015-03-16 19:58  fuscated

svn10149:  * Wizard: Fix the Arm wizard to show a proper list of
	  compilers

2015-03-14 20:39  d_anselmi

svn10148:  * compiler: add support for gcc for ADI blackfin

2015-03-14 08:18  ollydbg

svn10147:  * CC: fix infinite loop when recursive macro expansion
	  reaches max count.
	  
	  We just skip the problematic token which causes infinite loop
	  when macro
	  expansion happens, in this case, just return true from the
	  ReplaceBufferText()
	  function. In the function
	  
	  void Tokenizer::GetReplacedToken(wxString& str)
	  {
	  // this indicates we are already in macro replacement mode
	  if (m_RepeatReplaceCount > 0)
	  {
	  const int id = m_TokenTree->TokenExists(str, -1, tkMacroDef);
	  if (id != -1)
	  {
	  const Token* token = m_TokenTree->at(id);
	  if (token)
	  {
	  bool replaced = false;
	  if (!token->m_Args.IsEmpty())
	  replaced = ReplaceMacroUsage(token);
	  else if (token->m_FullType != token->m_Name)
	  replaced = ReplaceBufferText(token->m_FullType);
	  
	  if (replaced || token->m_FullType.IsEmpty())
	  {
	  SkipUnwanted();
	  // recursive call the DoGetToken function here
	  str = DoGetToken();
	  }
	  }
	  }
	  // if in macro expansion mode, we don't want to let the user
	  replacement rule executed
	  // again, so just returned
	  return;
	  }
	  
	  When replaced = ReplaceBufferText(token->m_FullType);
	  The replaced is now true, so it satisfies the if condition, and a
	  further
	  str = DoGetToken(); function call just skip the problematic
	  token.
	  
	  Thanks Huki for the suggestion change.
	  See details in
	  http://forums.codeblocks.org/index.php/topic,19791.msg137045.html#msg137045

2015-03-10 02:54  ollydbg

svn10146:  - CC: remove unused local variable.
	  
	  Cppcheck reported this issue.
	  [nativeparser_base.cpp:869]: (style) Unused variable:
	  autualTypeResult
	  Thanks blauzahn.

2015-03-10 02:54  ollydbg

svn10145:  - CC: use empty() function instead of size() in
	  emptiness checking.
	  
	  Fix cppcheck report:
	  [doxygen_parser.cpp:845]: (performance) Possible inefficient
	  checking for 'tokensIdx' emptiness.
	  Thanks blauzahn.

2015-03-10 02:53  ollydbg

svn10144:  - CC: reduce the scope of local variable.
	  
	  This is reported by cppcheck.
	  [coderefactoring.cpp:438]: (style) The scope of the variable
	  'pos' can be reduced.
	  Thanks blauzahn.

2015-03-10 02:53  ollydbg

svn10143:  - CC: fix member variable not initialized in the
	  constructor.
	  
	  This is reported by cppcheck.
	  [classbrowserbuilderthread.cpp:66]: (warning) Member variable
	  'ClassBrowserBuilderThread::m_idThreadEvent' is not initialized
	  in the constructor.
	  Thanks blauzahn.

2015-03-10 02:52  ollydbg

svn10142:  - CC: reduce local variable scopes.
	  
	  [tokentree.cpp:150]: (style) The scope of the variable 'result'
	  can be reduced.
	  [tokentree.cpp:178]: (style) The scope of the variable 'result'
	  can be reduced.
	  [tokentree.cpp:210]: (style) The scope of the variable 'result'
	  can be reduced.
	  [tokentree.cpp:243]: (style) The scope of the variable 'result'
	  can be reduced.
	  Thanks blauzahn.

2015-03-10 02:52  ollydbg

svn10141:  - CC: reduce scope of the variables.
	  
	  There are some cppcheck reports:
	  [searchtree.cpp:598]: (style) The scope of the variable 'nchild'
	  can be reduced.
	  [searchtree.cpp:670]: (style) The scope of the variable 'n' can
	  be reduced.
	  [searchtree.cpp:759]: (style) The scope of the variable 'matches'
	  can be reduced.
	  [searchtree.cpp:989]: (style) The scope of the variable 'u' can
	  be reduced.
	  Thanks blauzahn.

2015-03-10 02:51  ollydbg

svn10140:  - CC: fix performance issue reported by cppcheck.
	  
	  [parserthread.cpp:1883] -> [parserthread.cpp:1884]: (performance)
	  Variable
	  'current' is reassigned a value before the old one has been used.
	  Thanks blauzahn.

2015-03-10 02:51  ollydbg

svn10139:  - CC: fix un-initialized BrowserOptions members
	  reported by cppcheck.
	  
	  [parser_base.cpp:180]: (warning) Member variable
	  'ParserBase::m_BrowserOptions'
	  is not initialized in the constructor. Thanks blauzahn.

2015-03-10 02:50  ollydbg

svn10138:  - CC: fix duplicated else if condition reported by
	  cppcheck.
	  
	  [expression.cpp:125]: (style) Expression is always false because
	  'else if'
	  condition matches previous condition at line 104. Thanks
	  blauzahn.

2015-03-09 19:10  fuscated

svn10137:  * CC: Fix infinite loop when traversing headers and
	  there are loops caused by symlinks (ticket #14)
	  
	  > Use a set to store all paths that have already being traversed.
	  > Detect when we are at a symbolic link path and resolve it to
	  its original
	  path.
	  > Use the set to prevent traversing a path that has already being
	  traversed.

2015-03-09 15:39  jenslody

svn10136:  * avoid conflicts in portable mode, if user datapath
	  and global datapath are in the same folder; see:
	  http://forums.codeblocks.org/index.php/topic,20030.msg137012.html#msg137012:

2015-03-09 07:14  mortenmacfly

svn10135:  * pumped zlib lib to v1.2.8 to address security issues

2015-03-09 07:13  mortenmacfly

svn10134:  * pumped bzib2 lib to v1.0.6 to address security
	  issues

2015-03-09 07:12  mortenmacfly

svn10133:  * added missing part of implementation to support
	  resource compiler options (see
	  http://forums.codeblocks.org/index.php/topic,20081.new.html)

2015-03-06 16:45  thomas-denk

svn10132:  * Updated C++ lexer keywords for more correctness
	  - added noexcept
	  - added alignas
	  - corrected _Char16_t and _Char32_t (N2018) to char16_t and
	  char32_t
	  - removed align_union (N1877)
	  - removed alternative representations ("reserved and shall not be
	  used otherwise")

2015-03-04 14:16  ollydbg

svn10131:  * CC: do not save the macro usage tokens in the token
	  tree.
	  
	  macro usage is just like a function call, if we save all macro
	  usages, our
	  token tree becomes too large. E.g. with this patch, the token
	  number is
	  reduced from about 15000 to 13000 when parsing codeblocks.cbp.
	  The only
	  case we need to save the macro usage are some special macro
	  usages like
	  BEGIN_EVENT_TABLE or EVT_BUTTON, but this needs futures works. To
	  many
	  macro call tokens of the same name also pollute the tooltip.
	  Further
	  discussions can be found in:
	  http://forums.codeblocks.org/index.php/topic,20053.0.html

2015-02-27 23:25  damienlmoore

svn10130:  * FileManager: disable drag and drop in the tree if
	  browsing a commit state of a version controlled folder

2015-02-27 23:20  damienlmoore

svn10129:  * FileManager: correctly resolve path to item when
	  displaying version controlled path in changes only mode

2015-02-27 06:05  ollydbg

svn10128:  - CCTest: fix build error introduced in previous
	  commit. (Thanks stahta01)

2015-02-25 02:49  ollydbg

svn10127:  * CC: add two "Go" button in the "CC debug info"
	  dialog.
	  
	  Now, the user can click on the button to navigate to the token's
	  declaration or
	  definition position.

2015-02-25 02:48  ollydbg

svn10126:  - CC: add and tweak comments, remove unused function.

2015-02-23 07:45  ollydbg

svn10125:  * CCTest: add a new cc test case of recursive macro
	  expansion.
	  
	  See detials from C::B forum:
	  http://forums.codeblocks.org/index.php/topic,19791.msg136000.html#msg136000
	  Note current CCTest will go to infinite loop by running this test
	  case.

2015-02-23 07:16  ollydbg

svn10124:  * CC: update typedef'd func pointer calltip. (Thanks
	  Huki)
	  
	  Details can be found in forum discussion:
	  http://forums.codeblocks.org/index.php/topic,19278.msg135831.html#msg135831
	  The test code can be found in this post:
	  http://forums.codeblocks.org/index.php/topic,19278.msg133897.html#msg133897
	  Note that this commit also change the function prototype from:
	  bool PrettyPrintToken(const TokenTree* tree,...)
	  to
	  bool PrettyPrintToken(TokenTree* tree,...)
	  Bacically, we should use the const TokenTree*, but this cause
	  build errors
	  because tree->TokenExists(...) is not a const function, but
	  change
	  TokenExists() to a const function need some more changes to all
	  the called
	  functions inside TokenExists(), so leave them as another further
	  fix.

2015-02-21 02:13  damienlmoore

svn10123:  * FileManager: improves support for displaying status
	  icons representing the changes in the working copy or a
	  particular commit when browsing version controlled directories.
	  Also improves robustness when viewing the mercurial log

2015-02-19 05:42  damienlmoore

svn10122:  * FileManager: retrieve only relative paths when
	  showing changes to a directory under version control

2015-02-19 05:20  damienlmoore

svn10121:  * FileManager: adds checkbox to view only changed
	  files from the last commit in a version controlled directory.
	  Also fixes a couple of bugs with mercurial repo browsing and some
	  issues reported by blauzahn at
	  http://forums.codeblocks.org/index.php/topic,19961.msg136598.html#msg136598

2015-02-16 15:54  alpha0010

svn10120:  - build system: add wx-config version flag to unix
	  wx28 .cbp files

2015-02-15 20:37  alpha0010

svn10119:  - add/fix some comments (no functional change)

2015-02-12 20:20  fuscated

svn10118:  - Partial revert r10070: Checkbox added accidentally

2015-02-12 19:18  fuscated

svn10117:  * Revert r10115 - because this solution is dangerous,
	  hard to use
	  
	  Check this topic to read the discussion:
	  http://forums.codeblocks.org/index.php/topic,20000.0.html

2015-02-11 23:13  damienlmoore

svn10116:  * FileManager: support for showing only commits with
	  changes to a particular file

2015-02-11 17:29  mortenmacfly

svn10115:  * Compiler: applied patch by LETARTARE so misc.
	  compiler events also provide the source ID of the wx event that
	  caused the compiler operation

2015-02-11 16:56  mortenmacfly

svn10114:  * FileManager: fixed crash if setting a folder to root
	  which is under version control and where the VCS executables
	  could not be run

2015-02-11 01:56  damienlmoore

svn10113:  * FileManager: 'show changed files only' -- flattens
	  the tree of a directory under version control and shows only the
	  files that have changed (currently only works for the working
	  copy and still need to prune some of the right click menu options
	  and disable drag n drop)

2015-02-10 10:35  jenslody

svn10112:  - fix for specfile-template; NassiShneiderman- and
	  Spellchecker-plugin have not been build on non RedHat-systems

2015-02-10 08:36  jenslody

svn10111:  - FileManagerPlugin: another non-pch build-fix

2015-02-10 06:49  mortenmacfly

svn10110:  - some build fixes wrt latest commits to FileManager
	  with non-PCH builds

2015-02-10 05:56  damienlmoore

svn10109:  * FileManager: missing space in git log command

2015-02-10 04:43  damienlmoore

svn10108:  - FileManager: adds missing files to wx3.0 and 64bit
	  project files

2015-02-10 04:30  damienlmoore

svn10107:  * FileManager: adds support for viewing diffs and
	  browsing the history of a version controlled folder (currently
	  supports GIT, SVN, BZR and HG).
	  
	  The feature is experimental so it's disabled by default and
	  probably has lots
	  of bugs. To enable it right click on a file/folder in the Files
	  tab of the
	  Management pane and Select Settings -> [VCS] Integration. Then
	  for folders that
	  are under that VCS's management, you should see a VCS choice box.
	  Note that
	  when you select a particular commit using the choice box you are
	  NOT checking
	  out that commit so the content of the folder won't be changed.
	  You can
	  easily flip back to viewing the folder content itself by
	  selecting "working copy".
	  While browsing a commit or the working copy in the file manager,
	  you should
	  be able to view files and see diffs using the right click menu.
	  Also note that
	  performance usually stinks for SVN because of network
	  performance.

2015-02-09 15:36  jenslody

svn10106:  - non-pch build-fix for commit 10096

2015-02-08 00:50  jenslody

svn10105:  KeybinderPlugin: fix wx30 assert when calling
	  wxString::Last on empty string

2015-02-08 00:49  jenslody

svn10104:  - Linux: Use standard-conform paths for config- and
	  data-folders.
	  Use glib to determine the paths, as wxWidgets still can not do
	  this.
	  The old config and usewr-data folders are moved to the correct
	  place (after a hint).

2015-02-08 00:49  jenslody

svn10103:  - DoxyBlocks-plugin: use ConfigManager do determine
	  the config-folder.
	  It's better maintainable and should work correct after last
	  commit.
	  And it does not (try to) write the conf-file in the root-folder
	  with new personalities.

2015-02-08 00:49  jenslody

svn10102:  - CodeSnippets-plugin: use ConfigManager do determine
	  the config-folder.
	  It's better maintainable and should work correct after last
	  commit.

2015-02-08 00:49  jenslody

svn10101:  - BrowseTracker-plugin: use ConfigManager do determine
	  the config-folder.
	  It's better maintainable and should work correct after last
	  commit.
	  And it does not (try to) write the conf-file in the root-folder
	  with new personalities.

2015-02-08 00:49  jenslody

svn10100:  - Do not use data_path_global for user-data when C::B
	  starts in portable mode.
	  It's not portable to have user-data in global-path and (what's
	  more) the global-data-path is not user-writable on linux (and
	  Mac?).
	  Instead keep the user-data in the exe-path (as the config-files.

2015-02-07 20:40  jenslody

svn10099:  - avoid unnecessary call of Manger::Get()

2015-02-07 19:13  pecanh

svn10098:  cbproject - Fix Unexpected crash when removing file
	  from the project. See
	  http://forums.codeblocks.org/index.php/topic,19272.msg136480.html#msg136480

2015-02-06 22:13  mortenmacfly

svn10097:  * at least report if loading an XRC resource failed

2015-02-06 22:09  mortenmacfly

svn10096:  * compiler framework: added support to disable
	  dependency checks for #includes (intended ONLY for on C/C++
	  compilers!)
	  * added missing parts of project load wrt to last commit

2015-02-06 22:03  mortenmacfly

svn10095:  * compiler framework: add support for dedicated
	  resource compiler options

2015-02-06 11:10  mortenmacfly

svn10094:  * fixed #123 HeaderFixup: use istream/ostream directly
	  Move Edit

2015-02-03 19:23  alpha0010

svn10092:  * linux: attempt to resolve startup crash candidate
	  introduced by r9420

2015-01-30 23:40  fuscated

svn10091:  * ccmanager: Make the call tips wrap around
	  
	  > Introduce a helper function that advances to the previous or
	  next call tip.
	  > It goes to the first if the user wants to go to the next tip
	  and it is at
	  the last tip.
	  > It goest to the last tip if at the first and going backwards.
	  > Use it everywhere to do the advancing of tips (key handling,
	  menu
	  handling and call tip, button presses).
	  > Always show both forward and backward buttons in the calltip,
	  so the user
	  will know that we support wraparound.

2015-01-28 05:28  jenslody

svn10090:  - globals.cpp: in CreateDirRecursively(): avoid
	  recursing through already existing folders, in case a filename is
	  given instead of a directory

2015-01-28 05:18  jenslody

svn10089:  - tiny source Layout fix (no functional change)

2015-01-27 01:50  damienlmoore

svn10088:  FileManager: remove unused class member functions

2015-01-26 23:34  damienlmoore

svn10087:  * FileManager: 1. adds support for providing git
	  status decorators (clunky because we need a more complete set of
	  status codes for modern VCSs) 2. Corrects labels for status
	  decorator settings in default.conf for HG and BZR (they were
	  reversed).

2015-01-26 16:21  thomas-denk

svn10086:  - Fuck. I hate assertions that do nothing useful but
	  require you to add extra code just so the darn assertion doesn't
	  fail in a debug build. Grrr...

2015-01-25 18:07  fuscated

svn10085:  * NassiPlugins: Fix issue #124 Match diagram colors to
	  editor colors Edit (patch by scarphin, modified)
	  
	  > Add code that queries the ColorManager and allows the user to
	  change the colors.
	  > Add code that detects changes to the ColorManager and updates
	  all active views.

2015-01-25 18:07  fuscated

svn10084:  * compiler options: Fix the clear button in the Search
	  directories tab (partially revert r7569)

2015-01-25 18:07  fuscated

svn10083:  - Nassi: Fix the unix project to start codeblocks
	  correctly

2015-01-25 18:07  fuscated

svn10082:  - sdk: Add more settings changed events

2015-01-24 08:36  ollydbg

svn10081:  - SDK: enhance comment for CCToken class

2015-01-23 13:06  mortenmacfly

svn10080:  * fixed compilation error with wx30+ due to use of
	  deprecated wxWidgets API

2015-01-23 13:05  mortenmacfly

svn10079:  - harmonise XRC files to avoid "version mismatch"
	  warning

2015-01-23 03:32  alpha0010

svn10078:  - comments: doxygen main page (no functional change)

2015-01-22 16:46  mortenmacfly

svn10077:  * changed two copyrights to 2015

2015-01-22 02:20  alpha0010

svn10076:  * CCManager: enable colour configuration of tooltips
	  (patch by scarphin)

2015-01-22 02:20  alpha0010

svn10075:  * CCManager: allow overloaded calltip navigation by
	  arrow keys (patch by darmar; modified)

2015-01-21 03:56  damienlmoore

svn10074:  * EditorTweaks: new option to enable laptop friendly
	  keyboard shortcuts (for systems with missing or inconveniently
	  placed home, end, page up, page down, and delete keys). Includes
	  some minor reorganization of the sources.

2015-01-20 19:39  alpha0010

svn10073:  - add some comments (no functional change)

2015-01-20 19:39  alpha0010

svn10072:  - SpellChecker: fix minor uninitialized pointer

2015-01-20 19:39  alpha0010

svn10071:  - CCManager: extend keybound only option to also
	  include autolaunched calltips

2015-01-20 17:17  mortenmacfly

svn10070:  - relay-outing some of the settings dialogs
	  - fixed some assertions in wx30 wrt XRC files

2015-01-19 18:24  thomas-denk

svn10069:  - Fix assert failure clicking "Double click on tab..."
	  environment option (wrong type given to XRCCTRL)

2015-01-19 15:36  alpha0010

svn10068:  * CCManager: allow tooltips to be shown via only
	  keybindings (no mouse dwell)

2015-01-18 21:46  d_anselmi

svn10067:  * editor: adjust lexer configuration to last scintilla
	  release

2015-01-17 20:10  mortenmacfly

svn10066:  * updating astyle library to v2.05
	  - note: since a few releases the plugin needs some overhaul to
	  make use of all the new features in astyle... volunteers are
	  welcome.

2015-01-17 20:07  mortenmacfly

svn10065:  * pumped (wx)Scinitlla to v3.53, finally bringing the
	  "HEX" lexers to the core

2015-01-17 13:58  mortenmacfly

svn10064:  * security fix for 3rd party hunspell library

2015-01-17 13:50  mortenmacfly

svn10063:  * pumped (wx)Scintilla to v3.4.1

2015-01-17 13:45  mortenmacfly

svn10062:  - tiny fix in wxPdfDocument 3rd party lib

2015-01-17 13:43  mortenmacfly

svn10061:  * rndgen: fixed compilation error with latest GCC
	  (e.g. TDM GCC 4.9.2) at least on Windows

2015-01-15 23:53  d_anselmi

svn10060:  * NassiShneiderman: enhancement of the Cparser (Fixes
	  issue #125, thanks scarphin)

2015-01-12 20:53  d_anselmi

svn10059:  * editor: add lexer configuration for new lexer in
	  upcoming scintilla release.

2015-01-10 10:03  ollydbg

svn10058:  * CC: fix ticket #130(Bug in RMB click -> Open include
	  file). The second parameter of the function NormalizePath()
	  should be a directory, not an absolute file path.

2015-01-10 10:02  ollydbg

svn10057:  * CC: remove unused variables, those variables and
	  their associated UI parts are now controlled by CCManager in
	  SDK(See revision 10055). The case_sensitive option now follows
	  the global settings in CCManager, so once a Parser is created,
	  this option can't be changed unless the project get reopened.

2015-01-07 01:19  fuscated

svn10056:  * NassiShneiderman: Add a menu entry to allow creating
	  diagrams from selected text (Fixes issue #126, thanks scarphin)

2015-01-05 21:03  alpha0010

svn10055:  * CCManager: add configuration options for main CC
	  behaviours

2014-12-29 16:15  fuscated

svn10054:  - depslib: Fix compilation on windows due to c++ style
	  comments (thanks TurboSnail, fixes issue #121)

2014-12-24 08:36  jenslody

svn10053:  - spec-file-template: Do not try to build
	  Spellchecker-plugin on CentOS/RedHat < 6, because the hunspell
	  packages are only available from a thirdparty repo (atomicorp),
	  therefore an automatic build is not possible and the users are
	  forced to enable this repo.
	  Fix determining of redhat and fedora release-numbers.

2014-12-21 20:58  jenslody

svn10052:  - codesnippets: non-windows build fix

2014-12-21 04:21  pecanh

svn10051:  CodeSnippets -
	  fixed: was not saving edited snippets changed xml data. Fixed:
	  was not saving snippet files when shutting down.
	  Ref:http://forums.codeblocks.org/index.php/topic,19759.0/topicseen.html

2014-12-06 17:42  fuscated

svn10050:  * compiler: Try to fix the wrong autosize problem
	  (http://forums.codeblocks.org/index.php/topic,19761.0.html)
	  
	  > Define virtual function to allow ListCtrlLogger implementations
	  to
	  override the AutoFitColumns behaviour.
	  > Change the parameters of the AutoFitColumns function in the
	  compiler
	  messages, so it suits the interface and so call to Append will
	  call it
	  when autosizing is needed.

2014-12-06 17:42  fuscated

svn10049:  * compiler: Save in the config the setting of the Fit
	  automatically menu item shown in the build messages window
	  context menu

2014-12-05 09:12  jenslody

svn10048:  * Better (?) merge of panes, if multiple projects are
	  loaded, that are not in a workspace; see:
	  http://forums.codeblocks.org/index.php/topic,19789.msg135272/topicseen.html#msg135272

2014-12-05 09:12  jenslody

svn10047:  - build fix for wx3.0, if WXWIN_COMPATIBILITY_2_8 is
	  not set

2014-12-05 01:28  alpha0010

svn10046:  * search: prevent lag/freeze if search logs a match
	  contained on a long (1000+ chars) line

2014-12-02 14:45  ollydbg

svn10045:  * CC: handling stringize operator ('#') when expanding
	  macros.

2014-12-02 14:44  ollydbg

svn10044:  - CC: reindent code inside struct definition.

2014-12-02 14:43  ollydbg

svn10043:  - CC: remove unused function template
	  GetStringFromVector().

2014-11-30 14:44  fuscated

svn10042:  * debugger: Fix infinite loop when parsing watches
	  generated by Python pretty printers
	  
	  > It turned out that the watch parser will loop infinetely if
	  there is a
	  equal character returned by the pretty printer.
	  > To solve this I've expanded the tokenizer to group tokens
	  starting with a
	  brace until the closing brace is found.
	  > Added test for this case.

2014-11-30 03:10  ollydbg

svn10041:  * Todo plugin: fix SourceForge Ticket #96, ToDo List
	  plugin does not ignore */ if it is on the same line.

2014-11-29 14:24  ollydbg

svn10040:  * wxScintilla control: fix the caret blink issue, see
	  http://sourceforge.net/p/codeblocks/tickets/92

2014-11-29 14:22  ollydbg

svn10039:  - CC: add missing SVN keywords in doxygen_parser.cpp.

2014-11-29 14:20  ollydbg

svn10038:  - astyle plugin: fix SourceForge Ticket #103, change
	  "Gnu" to "GNU" in the label.

2014-11-26 20:04  pecanh

svn10037:  -Keybinder - Petrov patch to handle Ctrl-- and Ctrl-+

2014-11-23 16:45  fuscated

svn10036:  * debugger: Fix parsing gdb locals/arguments when the
	  values contain escaped double and single quotes

2014-11-17 16:23  jenslody

svn10035:  * Fix error in layout-file version check, that could
	  lead to warnings about changed fileversion in batch-build.

2014-11-16 14:42  fuscated

svn10034:  - Plugin API: removed obsolete (historic) Configure
	  method from contrib plugins not using the code

2014-11-15 21:11  fuscated

svn10033:  * debugger: Add support for executing additional shell
	  commands when using GDB (thanks scarphin)

2014-11-10 16:51  jenslody

svn10032:  - hide error-messages when running
	  update-mime-database in rpm-based distros; these messages are not
	  caused by Code::Blocks, but users might blame it anyway; see
	  http://forums.codeblocks.org/index.php/topic,19767.msg135026.html#msg135026

2014-11-10 16:49  jenslody

svn10031:  - IncrementalSearch-plugin: hide border of internal
	  text-control and place it more left in the combo-control; layout
	  was ugly on windows

2014-11-09 13:15  fuscated

svn10030:  * debugger: Add flag to enable/disable loading of
	  .gdbinit (thanks scarphin)

2014-11-07 18:35  jenslody

svn10029:  * fix for commit 10011, which could lead to revision
	  string "0"

2014-11-06 23:22  fuscated

svn10028:  - BrowseTracker: Fix post build steps for wx30 and
	  wx30_64 (thanks gd_on)

2014-11-06 13:22  d_anselmi

svn10027:  - FileManager Plugin: fix crash when right click
	  beside the tree when nothing from the tree is selected.

2014-11-06 09:23  jenslody

svn10026:  * Add dummy editor to watchesdialog to fix assert in
	  wx3.0

2014-11-06 09:23  jenslody

svn10025:  * wxSmith-plugin: fix warnings about deprecated
	  font-enums; add setter- abd getter-functions for wxFontStyle,
	  wxFontWeight and wxFontFamily to wxsPropertystream

2014-11-06 09:23  jenslody

svn10024:  * Fix warnings about deprecated font-enums with wx3.1

2014-11-06 09:23  jenslody

svn10023:  * wxSmith-plugin: wx3.1 build fix

2014-11-06 09:22  jenslody

svn10022:  - ToolsPlus-plugin: fix warning about unused parameter

2014-11-06 09:22  jenslody

svn10021:  - BrowseTracker-plugin: fix shadow-warnings

2014-10-30 07:40  mortenmacfly

svn10020:  - harmonise SVN EOL and other properties

2014-10-30 07:21  ollydbg

svn10019:  - SepllChecker: Add missing svn eol property "native"
	  to the file xmlparser.cxx. (thanks stahta01)

2014-10-29 23:26  pecanh

svn10018:  -Keybinder Reverted parts of 9836 to 9764. User
	  secondary defined keys were not being rebound on reload of a
	  project. Will work to resolve problem

2014-10-29 08:46  mortenmacfly

svn10017:  * removed artefact left over in commit r9845 (thanks
	  White Tiger)

2014-10-27 23:04  fuscated

svn10016:  - Log version information at startup, so users can see
	  what version are they trying to run even if there is a crash
	  before the ui shows up

2014-10-27 22:50  fuscated

svn10015:  * editor: Add menu commands for searching the selected
	  text without opening the find-replace dialog (thanks beja)
	  
	  Fix for ticket #72 on sourceforge.net

2014-10-26 19:53  alpha0010

svn10014:  - spellchecker: replace hardcoded character set with
	  unicode compatible calls, improves checking accuracy in utf8
	  comments

2014-10-26 19:53  alpha0010

svn10013:  - ccmanager: avoid potential dangling pointer on CC
	  plugin disable/uninstall

2014-10-26 19:53  alpha0010

svn10012:  * astyle: revert r9575 (apply formatting delta to
	  editor) due to buggy implementation
	  
	  see:
	  http://forums.codeblocks.org/index.php/topic,19727.msg134824.html#msg134824

2014-10-26 18:22  alpha0010

svn10011:  - autorevision: fix revision change test, using '>='
	  on strings fails when the number of digits changes (9999 ->
	  10000)

2014-10-26 12:26  d_anselmi

svn10010:  - lexer: adjust svn eol property

2014-10-24 23:22  fuscated

svn10009:  - projects: Removed file (mozilla/StandardInteger.h)
	  that does not exist any more from CB core projects (thanks
	  stahta01).

2014-10-24 23:22  fuscated

svn10008:  - projects: Added missing mozilla files Alignment.h
	  and TypedEnum.h to core CB projects (thanks stahta01).

2014-10-24 23:22  fuscated

svn10007:  - projects: Added include/misctreeitemdata.h file
	  missing from core CB projects (thanks stahta01).

2014-10-24 23:22  fuscated

svn10006:  - PCH Fix: Removed include of sdk.h from CB core
	  header; removed CB_PRECOMP guard and reordered headers. Added
	  prep.h include (thanks stahta01).

2014-10-24 23:22  fuscated

svn10005:  - PCH Fix: Removed incorrect include of wx/wxprec.h
	  and use of #pragma hdrstop from CB API header xtra_res.h (thanks
	  stahta01).

2014-10-24 23:22  fuscated

svn10004:  - PCH Fix: Added include of sdk.h before "#ifndef
	  CB_PRECOMP block" and moved local include after block (thanks
	  stahta01).

2014-10-23 22:36  d_anselmi

svn10003:  * lexer: added BibTex lexer configuration files

2014-10-20 17:34  fuscated

svn10002:  - autotools: Fix make dist on linux

2014-10-17 12:29  ollydbg

svn10001:  * CC: handling __declspec (xxx) in
	  ParserThread::DoParse() function. Move handling __attribute__
	  keyword to the switch case statements.

2014-10-16 17:22  pecanh

svn10000:  -BrowseTracker - remove debugging msg from release
	  target.

2014-10-16 14:45  ollydbg

svn9999:  * CC: code simplified. Use the ReplaceMacroUsage()
	  function to handle macro expansion, since it can handle both
	  function like macro expansion and object like macro expansion. If
	  ReplaceMacroUsage() return true, it means m_TokenIndex is rewind,
	  so we need to continue the loop.

2014-10-16 14:44  ollydbg

svn9998:  * CC: fix handling of conditional preprocessor
	  directives.
	  
	  Enhance IsMacroDefined() function, it can handle both "defined
	  (xxx)" and "defined xxx" directives. Do not run SkipToEOL(false)
	  inside the IsMacroDefined() function, because there are some
	  tokens after the current token in the same line. All caller
	  updated.
	  
	  Fix the failure of cc_macro_expansion.cpp.
	  Before this commit
	  ********************************************************
	  Testing in file: ...\testing\cc_macro_expansion.cpp
	  ********************************************************
	  *FAIL: zero_ zero_defined
	  -PASS: test_defined test_defined_expand_2
	  -PASS: f234 f2345
	  *FAIL: f123 f1234
	  -PASS: std:: string
	  --------------------------------------------------------
	  Total 5 tests, 3 PASS, 2 FAIL
	  --------------------------------------------------------
	  
	  With this commit
	  ********************************************************
	  Testing in file: ...\testing\cc_macro_expansion.cpp
	  ********************************************************
	  -PASS: zero_ zero_defined
	  -PASS: test_defined test_defined_expand_2
	  -PASS: f234 f2345
	  -PASS: f123 f1234
	  -PASS: std:: string
	  --------------------------------------------------------
	  Total 5 tests, 5 PASS, 0 FAIL
	  --------------------------------------------------------
	  
	  When defined (xxx) is handled in the IsMacroDefined() function.
	  In the main loop of reading the tokens, check the spaces after
	  DoGetToken(), so that the token in the next line don't get eat.

2014-10-16 13:51  ollydbg

svn9997:  - CCTest: more test cases added.

2014-10-16 09:02  mortenmacfly

svn9996:  - removed obsolete astyle files

2014-10-16 09:01  mortenmacfly

svn9995:  * update of astyle to v2.05

2014-10-16 08:59  mortenmacfly

svn9994:  - update of wxConrib items
	  - some cleanup wrt spacing, code style

2014-10-16 08:57  mortenmacfly

svn9993:  * pumped (wx)Scintilla to v3.5.1 (bringing support for
	  BibTeX lexer)

2014-10-14 22:42  ollydbg

svn9992:  * CC: remove WriteOptions() function call in
	  Parser::~Parser(). This function call was added in the previous
	  commit(commit9991). Options were saved when user click the Apply
	  button, so we don't need to save them when Parser object
	  destroyed.

2014-10-14 14:45  ollydbg

svn9991:  * CC: fix a bug that CodeCompletion's setting don't get
	  saved when C::B closed.
	  
	  To reproduce the bug, you can
	  1, start C::B (without opening any cbp project)
	  2, open the codecompletion's setting dialog
	  3, change some settings, such as: Options - Disable SmartSense
	  4, close the setting dialog and close C::B
	  5, reopen C::B, run step 2 again, you will notice the setting is
	  not saved yet.
	  
	  The bug was cause by the commit rev9920, there I changed the
	  ReadOptions() and WriteOptions() functions to virtual functions,
	  also the implementation of those functions in ParserBase class
	  are empty, the derived Parser class has real implementations(read
	  and write the configure file). But NativeParser::NativeParser()
	  create a ParserBase instance not a Parser instance, and
	  ParserBase::ReadOptions() and ParserBase::WriteOptions() do
	  nothing.
	  
	  To avoid the ParserBase to read the configure file (that's what
	  rev9920 want to achieve), I let the NativeParser create a real
	  Parser instance (not ParserBase), thus this commit partially
	  revert the code refactoring at rev7336 (2011-8-8, by Loaden).

2014-10-14 08:37  jenslody

svn9990:  * better build fix for the build fix for the build fix
	  ....; some distributions (at least debian) have backported the
	  fix to pre wx2.9.0, the wx2.8.12 tag in wxWidgets svn-repo still
	  uses the macro without the "WX_"-prefix

2014-10-14 04:44  jenslody

svn9989:  * build fix for the build fix in 9987

2014-10-14 03:52  alpha0010

svn9988:  * DoxyBlocks: strip default menu keybindings that
	  conflict core C::B shortcuts

2014-10-14 03:18  alpha0010

svn9987:  - build fix for r9982

2014-10-13 23:37  jenslody

svn9986:  * fix an issue with the new editorpane-layout
	  saving/restoring, where the layout was not recreated, when the
	  default workspace was automatically opened on application
	  startup.

2014-10-13 19:18  fuscated

svn9985:  - projects: Changed custom variable name from "WX_VER"
	  to "WX_VERSION" in Addr2LineUI windows projects (thanks stahta01)

2014-10-13 19:18  fuscated

svn9984:  - projects: Core projects had wrong location for
	  "wxsmith/VirtualBuildTargetsDlg.wxs" related files (thanks
	  stahta01)

2014-10-13 13:35  d_anselmi

svn9983:  * SpellChecker-plugin: activate OnlineSpellChecking for
	  VHDL block comments and strings and for verilog strings

2014-10-13 11:16  d_anselmi

svn9982:  * improve command line handling so plugins can receive
	  commands from the command line

2014-10-13 07:18  ollydbg

svn9981:  * CCTest: include "parser_base.h" instead of "parser.h"
	  in nativeparser_test.h. Since NativeParserTest class only
	  contains a ParserBase member.

2014-10-13 07:18  ollydbg

svn9980:  * CCTest: automatically load the ccc_*.cpp test file to
	  the wxScintilla control, so that when debugging a single file,
	  user can modify the file in the control and press the "test
	  single" button to run this test again.

2014-10-13 07:17  ollydbg

svn9979:  - CCTest: set the button size correctly, and adjust the
	  button labels.

2014-10-13 07:16  ollydbg

svn9978:  - CCTest: code refactoring, the CCLogger::Get()->XXX()
	  now prints the log messages to the parsing message panel and
	  wxLogMessage will print the log messages to the code completion
	  test result panel.

2014-10-13 07:15  ollydbg

svn9977:  * CCTest: add a new panel to log out the code
	  completion test results.

2014-10-13 07:14  ollydbg

svn9976:  * CCTest: remove unused "test.h" file from
	  codecompletion.cbp

2014-10-13 07:13  ollydbg

svn9975:  - CCTest: code clean up and refactoring, create a new
	  unified function ParseAndCodeCompletion() which can both handle
	  local disk files and contents from wxScintilla control.

2014-10-13 07:11  ollydbg

svn9974:  * CCTest can now handling both files in hard-disk or
	  files in wxScintilla control without save a temporary file. This
	  commit also fixes a but that the wxID_ANY was assigned to two
	  buttons in the same panel.

2014-10-13 07:10  ollydbg

svn9973:  - CCTest: code format clean up in cctest_frame.cpp.

2014-10-13 07:09  ollydbg

svn9972:  * CCTest: first try opening a single ccc_*.cpp. If no
	  ccc_*.cpp files exist, collect cc_*.cpp files for testing.

2014-10-13 07:08  ollydbg

svn9971:  * CCTest: use the wxDir::GetAllFiles() function to scan
	  and collect all the testing files, and store them in
	  CCTestAppGlobal::s_fileQueue, thus, we can testing many files.
	  Currently, the test file name will have a patter "cc_*.cpp" under
	  testing folder.

2014-10-13 07:07  ollydbg

svn9970:  * CCTest: don't save temporary files, just parse the
	  files specified by the file name.
	  
	  If you have a test file, e.g. testing/a.cpp, the former way was
	  load the file to wxScintilla control, when start parsing, we
	  first save the contents of wxScintilla control to a temporary
	  file, then parse it, and finally delete the temporary file. With
	  this commit, we don't need to use temporary files, the file can
	  be parsed directly if a file name is specified. The ParserBase
	  class can directly load the file from hard disk for parsing.

2014-10-13 07:07  ollydbg

svn9969:  - CCTest: document added in the beginning part of
	  cctest_app.cpp file, since we use the ParserBase class instead of
	  Parser class, those changes need to be documented.

2014-10-13 07:06  ollydbg

svn9968:  * CCTest: remove the priority header file parsing
	  options, both GUI and the related code were removed. Priority
	  header parsing method was removed from code base several months
	  ago in flavour of recursive header file parsing.

2014-10-13 07:04  ollydbg

svn9967:  * CCTest: avoid parsing the file twice in cctest. The
	  function BatchTest() does two things: 1, parse the file; 2, read
	  the test cases, and run those test cases. So we don't need a call
	  m_NativeParser.Parse() before m_NativeParser.BatchTest().

2014-10-13 02:01  ollydbg

svn9966:  * CC: handle the "__declspec" qualifier when parsing
	  the class definition, this qualifier is skipped as the same way
	  as handling "__attribute__" qualifier.

2014-10-13 01:59  ollydbg

svn9965:  - CC: minor comment fix in class browser related
	  classes.

2014-10-13 01:45  ollydbg

svn9964:  * CCTest: add new test file for testing codecompletion
	  for enumerator, this is mainly copied from enumerator.cpp.

2014-10-13 01:45  ollydbg

svn9963:  * CCTest: add a new test case, which test macro
	  handling of wx event table macros.

2014-10-13 01:44  ollydbg

svn9962:  * CCTest: add a new test case, this is used for parsing
	  MFC's event table macros, see:
	  http://forums.codeblocks.org/index.php/topic,19016.msg130216.html#msg130216

2014-10-13 01:43  ollydbg

svn9961:  - CC: add comments in ParserThread class.

2014-10-13 01:42  ollydbg

svn9960:  * CCTest: add new test case for parsing namespaces.
	  Also, fix a C++ grammar error by add missing semicolon after
	  class definition in the test code.

2014-10-12 19:04  jenslody

svn9959:  * BrowserTracker-plugin: more noPCH build fixes

2014-10-12 15:53  jenslody

svn9958:  * BrowseTracker-plugin: linux build fixes

2014-10-12 15:32  fuscated

svn9957:  - build: noPCH fixes

2014-10-12 13:37  ollydbg

svn9956:  - CC: add comment for function IsBinaryOperator()

2014-10-12 13:37  ollydbg

svn9955:  - CC: fix wrong descriptions in tokenizer.h

2014-10-12 13:36  ollydbg

svn9954:  * CC: fix a bug in
	  Tokenizer::CalcConditionExpression(), when checking whether a
	  token is a macro usage, the length of the token should be larger
	  then 0, not 1.

2014-10-12 13:35  ollydbg

svn9953:  * CCTest: add a new test case which can test how macro
	  expansion works

2014-10-12 13:35  ollydbg

svn9952:  - CC: fix comments in CodeCompletion class definition.

2014-10-12 13:32  ollydbg

svn9951:  * CC: fix bug when handling "##" operator in macro
	  expansion.
	  
	  When debugging with cctest without any user replace rules (enable
	  macro expansion check on every token), I found that the
	  surrounding spaces of "##" don't get removed. For example, when
	  parsing C++'s basic_string.h, the namespace std can't recognized
	  correctly due to wrongly expanding
	  "_GLIBCXX_VISIBILITY(default)".
	  I create a simple test code here:
	  
	  namespace std _GLIBCXX_VISIBILITY(default)
	  {
	  class string { };
	  }
	  
	  Here the _GLIBCXX_VISIBILITY(default) should finally be replaced
	  to an empty token, but if surrounding spaces are not removed, we
	  will get a sequence like: "_GLIBCXX_PSEUDO_VISIBILITY_" and
	  "default". This commit fixes such issue.

2014-10-12 10:58  fuscated

svn9950:  - debugger: Remove duplicate ClearLog call

2014-10-12 10:15  jenslody

svn9949:  * removed wxWindowUpdateLocker from
	  cbAuiNotebook::LoadPerspective(), because it's broken on windows

2014-10-12 10:14  jenslody

svn9948:  * fix for filemanager

2014-10-12 10:14  jenslody

svn9947:  * Add versioning to project and workspace layout files.

2014-10-12 10:13  jenslody

svn9946:  * Do not save read-only files. Use file-attributes of
	  original-file while saving.

2014-10-12 10:13  jenslody

svn9945:  * Add a SetReadOnly-function to editorbase-class.

2014-10-12 10:12  jenslody

svn9944:  * When setting the read-only icon, check also whether
	  an editor has the read-only flag set internally.

2014-10-12 10:11  jenslody

svn9943:  * Mark editor tabs of readonly files with an icon, see:
	  http://forums.codeblocks.org/index.php/topic,19572.msg133665.html#msg133665

2014-10-12 10:10  jenslody

svn9942:  * Check non builtin editors for file deletion and
	  read/write-status change.

2014-10-12 10:10  jenslody

svn9941:  * make the check for externally modified files
	  configurable, useful for slow network shares (default is on)

2014-10-12 10:09  jenslody

svn9940:  * Save notebook layout in project- and workspace-layout
	  files (and load it from there).

2014-10-11 22:08  pecanh

svn9939:  BrowseTracker - update unix cbp

2014-10-11 22:07  pecanh

svn9938:  BrowseTracker - update wx30 cbps

2014-10-11 21:47  pecanh

svn9937:  Browse Tracker 1.2.100 2014/10/9
	  Fix incorrect scintilla margin marker usage
	  Better resolution of Jump line recording
	  Add modifed user contrib tool bar (by sbezgodov)

2014-10-10 14:00  d_anselmi

svn9936:  Prepare SVG export with wx30

2014-10-05 03:00  damienlmoore

svn9935:  * ToolsPlus: move cursor after typing text in the tools
	  output window

2014-10-03 13:34  ollydbg

svn9934:  - CC: code refactoring. Change some function names.
	  Change "ReplaceFunctionLikeMacro" to "ReplaceMacroUsage" because
	  it handle both function like and variable like macro expansions.
	  Change "ReplaceMacro" to "GetReplacedToken" to avoid name
	  ambiguity.

2014-10-02 15:04  ollydbg

svn9933:  * CC: disable the sanity check when expanding the macro
	  usage, this try to work around an issue reported here:
	  http://forums.codeblocks.org/index.php/topic,19661.msg134291.html#msg134291.
	  We still have a expansion limit to avoid the infinite loop.

2014-10-02 15:03  ollydbg

svn9932:  * CC: Apply patch by Huki, which merges function
	  handling and updates macro handling, see discussions in
	  http://forums.codeblocks.org/index.php/topic,19278.msg134227.html#msg134227.
	  
	  The patch fixes handling the function pointer declaration pattern
	  like: unsigned int (*AAA) (float BBB);
	  The normal function declaration and function pointer declaration
	  are handled in the same way in the DoParse().
	  The patch also enable checking each lexeme like token for macro
	  usage, thus many cctest cases like:
	  testing/cc_x_macro.cpp and
	  testing/cc_function_ptr_com_interface.cpp get 100% passes. The
	  only drawback
	  is that the parsing time becomes a little longer, but we can get
	  more precise parsing results especially in codes
	  with many macro usages.

2014-10-01 18:57  alpha0010

svn9931:  * cbEditor: url detection: format regex to improve
	  readability (no functional change), strip trailing periods and
	  commas from detected url

2014-09-29 00:47  ollydbg

svn9930:  - SRC: fix the eol style svn property of the file
	  ccmanager.h.

2014-09-28 20:28  alpha0010

svn9929:  - non PCH build fix

2014-09-28 20:28  alpha0010

svn9928:  * IncrementalSelectListDlg: pull filename prefix
	  matches to the top - for example, "sty" puts "Style.cxx" above
	  "cbstyledtextctrl.h"

2014-09-28 16:17  jenslody

svn9927:  * more build fixes

2014-09-28 16:17  jenslody

svn9926:  * non PCH build fix

2014-09-28 16:17  jenslody

svn9925:  * linux build fixes

2014-09-28 15:06  ollydbg

svn9924:  * CCTest: test case for X macros, see:
	  http://forums.codeblocks.org/index.php/topic,19024.msg130198.html#msg130198

2014-09-28 15:06  ollydbg

svn9923:  * CCTest: add test case for COM interface, which
	  involve macro expansion and function pointer parsing.

2014-09-28 15:05  ollydbg

svn9922:  * CCTest: add new test for function pointer code
	  completion

2014-09-28 15:04  ollydbg

svn9921:  * CCTest: add new test for the embedded unnamed class.

2014-09-28 14:48  ollydbg

svn9920:  * CC: code re-factoring for CodeCompletion plugin and
	  CCTest projects, class ParserBase was now in its own
	  parser_base.h/cpp files, thus remove parserdummy.cpp(this file
	  was used to supply the class ParserBase implementation for CCTest
	  project). ParserCommon::EFileType ParserCommon::FileType()
	  function was moved to parser_base.cpp.

2014-09-20 14:05  ollydbg

svn9919:  * CCTest: add macro definition CC_PARSER_TEST to
	  cctest.cbp

2014-09-18 14:56  ollydbg

svn9918:  * CC: remove the second parameter of function
	  Tokenizer::ReplaceFunctionLikeMacro(const Token* tk, bool
	  updatePeekToken = true). This partially reverts rev6691. The user
	  need to explicitly call PeekToken() to update the peek token. See
	  details in
	  http://forums.codeblocks.org/index.php/topic,18315.msg134199.html#msg134199

2014-09-18 14:55  ollydbg

svn9917:  * CC: remove the last parameter of the function
	  ReplaceBufferText(), and all caller updated. This partially
	  reverts rev6436. In the current code, all internal
	  ReplaceBufferText calls (ie, called inside Tokenizer.cpp) have
	  the second parameter updatePeek set to false, and external
	  ReplaceBufferText calls have it set to true. All the external
	  calls (there were just a few of them, and all of them in
	  parserthread.cpp), and we always do a GetToken() after the
	  ReplaceBufferText(). So it's safe to remove this parameter.
	  Details here:
	  http://forums.codeblocks.org/index.php/topic,18315.msg134199.html#msg134199
	  thanks Huki for the discussion and review.

2014-09-12 14:28  ollydbg

svn9916:  * CC: Apply patch by Huki, this improved parsing
	  support for func ptr, also add some comments about how to parse
	  the pattern "AAA BBB(...);"
	  
	  Both the four patterns of the function/variable will be
	  recognized:
	  void(*foo)(int a); // func ptr
	  void *(*foo)(int a); // func ptr with ptr return type
	  void foo(int a); // function decl
	  AAA foo(5); // var initialized with ctor (only supported for
	  local block)
	  
	  For the Pattern "AAA BBB(...);", we need to distinguish the token
	  type by where the statement locates, if it locates in a local
	  block, such as a function body, we recognize it as a variable
	  named BBB with type AAA, otherwise, it is a function declaration.
	  This is just a hack since our parser don't use semantic checking.
	  Details in:
	  http://forums.codeblocks.org/index.php/topic,19278.msg134068.html#msg134068.

2014-09-10 02:51  ollydbg

svn9915:  - CC: function name typo fix, GetMacroExpendedText ->
	  GetMacroExpandedText.

2014-09-10 02:51  ollydbg

svn9914:  - CC: fix wrong description of
	  Tokenizer::ReplaceBufferText() function

2014-09-10 02:50  ollydbg

svn9913:  - CC: comments added in ParserThread::HandleDefines().

2014-09-10 02:50  ollydbg

svn9912:  - CC: fix Doxygen comments by removing unused parameter
	  description.

2014-09-10 02:49  ollydbg

svn9911:  - CC: document where DoGetToken() could get recursive
	  called.

2014-09-10 02:49  ollydbg

svn9910:  - CC: code style fix, wrap long statement

2014-09-10 02:48  ollydbg

svn9909:  * CC: Apply patch by Huki to improved calltips support
	  for macro and typedef. See detailed description in
	  http://forums.codeblocks.org/index.php/topic,19278.msg133989.html#msg133989,
	  add documents for Tokenizer::SplitArguments() function.

2014-09-05 08:21  jenslody

svn9908:  * Spellchecker-plugin: linux build fix

2014-09-04 21:54  fuscated

svn9907:  * CppCheck: Add support for macros in the path of the
	  cppcheck executable, clean up the code a bit

2014-09-04 13:06  ollydbg

svn9906:  * CC: fix the return value of
	  Tokenizer::IsEscapedChar(), return true if it is at a escape
	  character. Update the callers, so there is no functional change.

2014-09-04 13:05  ollydbg

svn9905:  * CC: skip the C++ style comment correctly if reading
	  doxygen style document is enabled, thus partially fix the bug:
	  https://sourceforge.net/p/codeblocks/tickets/41/, the
	  synchronization issue about the Token and the document still
	  exists yet.

2014-09-03 20:16  fuscated

svn9904:  * CppCheck: Fix the text control in the config panel

2014-09-02 05:50  ollydbg

svn9903:  - CC: many comments added.

2014-09-02 05:50  ollydbg

svn9902:  - CC: remove unused type definitions, the instances of
	  those types were already removed in rev2121 back in Mar 3, 2006.

2014-09-02 05:49  ollydbg

svn9901:  * CC: apply patch by Huki, this mainly fixes two
	  things:
	  1) Nested unnamed (struct or union) within unnamed: all the
	  members should be invokable from the parent class or struct.
	  2) Show tooltips for members of unnamed / enum within class
	  invoked directly (also for nested cases).
	  See details in the C::B forum post:
	  http://forums.codeblocks.org/index.php/topic,18315.msg133712.html#msg133712

2014-09-01 09:39  mortenmacfly

svn9900:  * added registry lexer
	  * removed *.reg file extension from properties lexer

2014-09-01 06:58  d_anselmi

svn9899:  - vhdl lexer config: differ between comments and doc
	  comments

2014-09-01 06:56  d_anselmi

svn9898:  - fix: don't omit the outline

2014-09-01 06:52  d_anselmi

svn9897:  - move comments to belonging code

2014-09-01 05:58  mortenmacfly

svn9896:  * merged scinitlla_3_5_0 branch into trunk, main new
	  features:
	  ** make use of MVC principle in scintilla
	  ** timers are used for each type of periodic activity
	  ** VHDL enhancement by danselmi
	  ** lexer added for Windows registry files.
	  ** a lot of fixes
	  ** C::B: send wxEVT_SCI_CLIPBOARD_PASTE event to allow clients to
	  change clipboard data

2014-08-30 20:25  fuscated

svn9894:  - CC: Fix typo in a message (issue #40, thanks
	  michaelx386)

2014-08-30 13:09  ollydbg

svn9884:  - CC: many comments added and some wrong comments were
	  fixed.

2014-08-30 13:07  ollydbg

svn9883:  * CC: remove the task pool queue, it was used for
	  priority header file parsing, but we now use recursive paring of
	  the header files instead.
	  
	  Before the change, we have such logics to parse the files:
	  CodeCompletion receive Workspace changed (mostly because project
	  loaded finishes)
	  ->NativeParser::CreateParser for the active project
	  ->new Parser
	  ->DoFullParsing
	  ->Fill Parser's macro definition(from compiler and from project
	  setting)
	  ->Fill Parser's file list need to parse, kick the batch timer
	  
	  Parser receive batch timer event
	  ->new ParserThreadedTask (this task will executed in thread pool)
	  ->send Parse Start Event!
	  
	  ParserThreadedTask is executed
	  ->parse the macro definition
	  ->new ParserThread for each file in file list
	  ->put ParserThread in task pool queue
	  
	  Thread pool finish running tasks:
	  ->do one of below
	  *CASE1: if task pool queue is not empty, copy to thread pool, run
	  *CASE2: if file list is not empty, kick the batch timer
	  *CASE3: if macro definition is not empty, kick the batch timer
	  *CASE4: non of the above cases, send Parse Finish Event!
	  
	  In this commit, we don't need to copy the ParserThread to task
	  pool queue, and later to thread pool, we can directly put the
	  ParserThread to the thread pool.

2014-08-30 12:26  fuscated

svn9882:  * EditorTweaks: Add menu item for controlling if the
	  whitespace characters should be visible (fixes issue #39)

2014-08-28 23:12  fuscated

svn9879:  * compiler: Add propgrid to the compiler flags dialog
	  (double click on property toggles the value)

2014-08-28 23:12  fuscated

svn9878:  - compiler: Add propgrid to the compiler flags dialog
	  (fix autotools build)

2014-08-28 23:12  fuscated

svn9877:  - compiler: Add propgrid to the compiler flags dialog
	  (windows build fix)

2014-08-28 23:12  fuscated

svn9876:  - compiler: Add propgrid to the compiler flags dialog
	  (fix the minimal size problem)

2014-08-28 23:11  fuscated

svn9875:  - compiler: Add propgrid to the compiler flags dialog
	  (add wx30 support)

2014-08-28 23:11  fuscated

svn9874:  * compiler: Extract some flags from the warnings
	  category to the general category in the common-warnings file

2014-08-28 23:11  fuscated

svn9873:  * compiler: Add propgrid to the compiler flags dialog
	  (add expand/collapse all popup menu options)

2014-08-28 23:11  fuscated

svn9872:  * compiler: Add propgrid to the compiler flags dialog
	  (make sure that "General" is added first)

2014-08-28 23:11  fuscated

svn9871:  * compiler: Add propgrid to the compiler flags dialog
	  (sort flags in each category)

2014-08-28 23:11  fuscated

svn9870:  * compiler: Add propgrid to the compiler flags dialog
	  (pre-select the category in the flag editor dialog)

2014-08-28 23:11  fuscated

svn9869:  * compiler: Add propgrid to the compiler flags dialog
	  (don't allow modification/deletion of categories)

2014-08-28 23:11  fuscated

svn9868:  * compiler: Add propgrid to the compiler flags dialog
	  (remove ui from the xrc file)

2014-08-28 23:11  fuscated

svn9867:  * compiler: Add propgrid to the compiler flags dialog
	  (remove core related to the categories combo)

2014-08-28 23:11  fuscated

svn9866:  * compiler: Add propgrid to the compiler flags dialog
	  (remove code related to the checkbox list)

2014-08-28 23:11  fuscated

svn9865:  * compiler: Add propgrid to the compiler flags dialog
	  (start removal of old ui)

2014-08-28 23:11  fuscated

svn9864:  - compiler: Add propgrid to the compiler flags dialog
	  (initial commit)

2014-08-28 01:06  ollydbg

svn9863:  * CC: apply patch by Huki to avoid the recursive call
	  of Tokenizer::Peek() function, and reset the TokenIndex correctly
	  when handling C preprocessor conditional directive. The related
	  discussion is in
	  http://forums.codeblocks.org/index.php/topic,18315.msg133639.html#msg133639
	  and the following three replies.

2014-08-27 21:09  d_anselmi

svn9862:  SpellChecker: - update hunspell to version 1.3.3 (only
	  used on MSW)

2014-08-25 10:19  jenslody

svn9859:  * fix for bug #36 Path slashes in project file flip on
	  save between windows and nix

2014-08-21 12:04  d_anselmi

svn9858:  * CC: call SmartIndentPlugin->OnCCDone() when CC is
	  done through the event system

2014-08-20 15:41  d_anselmi

svn9857:  * SmartIndentHDL: do correctly unindent architecture",
	  "entity" and "configuration".

2014-08-18 20:56  fuscated

svn9856:  * debugger: Expand macros in the Additional GDB
	  commands

2014-08-06 15:33  d_anselmi

svn9855:  * OccurrencesHighlighting: small fix in #ifndef-ed code

2014-07-31 07:33  fuscated

svn9854:  - wx30: Fix an assert in the compiler plugin introduced
	  in r9852 (thanks muumi)

2014-07-30 06:58  d_anselmi

svn9853:  * CC: call SmartIndentPlugin->OnCCDone() when CC is
	  done
	  * SmartIndentHDL: tidy up formating when CC finished

2014-07-28 23:11  alpha0010

svn9852:  * compiler: rate limit build message column auto fit
	  frequency to reduce excessive overhead when builds produce
	  thousands of messages

2014-07-18 13:59  d_anselmi

svn9851:  * compiler: add support for the soft CPUs lm32, lm8 and
	  zpu

2014-07-18 13:55  d_anselmi

svn9850:  * SmartIndentHDL: do correctly unindent "end function"
	  and "end procedure".

2014-07-17 10:04  d_anselmi

svn9849:  * make the menu entries normal items (were check items
	  which was wrong)

2014-07-16 08:49  ollydbg

svn9848:  * CC: remove the last parameter of Parser::Parse()
	  function. It was the LoaderBase pointer, but this argument is
	  always set as nullptr, otherwise, it will report a memory leak
	  problem. The loader must be allocated in the Parse() function,
	  and later deleted in ParserThread::InitTokenizer() function.

2014-07-16 06:50  ollydbg

svn9847:  * CC: apply patch by Huki. Don't skip successive
	  opening or closing brackets, see details in
	  http://forums.codeblocks.org/index.php/topic,18315.msg132594.html#msg132594.

2014-07-16 06:48  ollydbg

svn9846:  * CC: apply patch by Huki. In DoParse(), when parsing
	  "else" we try to eat the arguments. It breaks support for
	  "else-if" (we will end up eating the "if"), and anyway there is
	  no need to skip anything after "else". See details in
	  http://forums.codeblocks.org/index.php/topic,18315.msg132594.html#msg132594.

2014-07-11 23:21  alpha0010

svn9845:  * compiler: resolve bug 19021, new compiler flags
	  created from the per-project settings dlg are not saved to
	  running memory
	  See http://forums.codeblocks.org/index.php/topic,18019.0.html

2014-07-06 13:37  fuscated

svn9844:  - scripted_wizard: Use nullptr instead of 0, when
	  dealing with pointers

2014-07-06 13:37  fuscated

svn9843:  * scripted_wizard: Make it possible to create target
	  wizard, without the need to add BuildTarget panel
	  
	  > The old code had a requirement that target wizards must add a
	  BuildTarget
	  panel. This is not always convenient for wizard implementer, so
	  I've
	  made it possible to make a target wizard without the need to add
	  a
	  BuildTarget panel. When this is the case the wizard implementer
	  has the
	  task to fully setup the newly added target.

2014-07-05 01:07  fuscated

svn9842:  * scripting_wizard: Make it possible to get or set the
	  value from a wxComboBox

2014-06-29 13:32  mortenmacfly

svn9841:  - updated to the codesnippets project files wrt to unix
	  and wx30 (part 2)

2014-06-29 11:14  mortenmacfly

svn9840:  - updated to the codesnippets project files wrt to unix
	  and wx30

2014-06-29 00:52  fuscated

svn9839:  - wx30: Fix ASSERT when passing wrong defChoice value

2014-06-27 08:41  fuscated

svn9838:  - wxScintilla: Fix compilation of wx30-unix project
	  (related to rev9803)

2014-06-26 17:55  mortenmacfly

svn9837:  * issue a warning (info window) if the user tries to
	  access a member of a global variable that has not been defined
	  (GCV is defined, user-defined member not)
	  * issue a warning (to debug log) if include folders evaluate to
	  empty values due to invalid macro usage, for example

2014-06-26 08:47  fuscated

svn9836:  * keybinder: Make the plugin use the new events (thanks
	  arthurzmj)

2014-06-26 08:47  fuscated

svn9835:  * sdk: Add split/unslit editor events (thanks
	  arthurzmj)

2014-06-26 01:01  ollydbg

svn9834:  * CC: apply Huki's patch to fix Tokenizer issue. Fixed
	  undo token behavior when macro replacement involved. A very
	  detailed bug reports and fixes can be founds in four posts follow
	  the link:
	  http://forums.codeblocks.org/index.php/topic,18315.msg132555.html#msg132555

2014-06-25 03:10  alpha0010

svn9833:  - SmartIndent: resolve crash candidate introduced in
	  r9822; from within PHP, typing '{' on a blank line, then pressing
	  enter causes a crash in (wx)Scintilla (backtrace gives no clue of
	  why)

2014-06-25 00:31  fuscated

svn9832:  - scripting_wizard: Fix noPCH build (related to prev
	  commit)

2014-06-24 23:43  fuscated

svn9831:  * scripting_wizard: Modify the ComboBox APIs to work
	  with wxChoice or similar controls

2014-06-24 23:43  fuscated

svn9830:  * scripting_wizard: Add API for filling a wxChoice
	  control with filtered compilers list (removed some code
	  duplication, too)

2014-06-24 02:48  ollydbg

svn9829:  * CC: add a new CC replacement rule: XXXXX -> @, and
	  document the ReplaceMacro() function. The new rule triggers the
	  tokenizer to switch to macro replacement mode, thus a macro
	  definition can be looked up in the token tree. This fixes a bug
	  report here:
	  http://forums.codeblocks.org/index.php/topic,19278.0.html.

2014-06-24 02:47  ollydbg

svn9828:  * CC: fix a bug in splitting macro's actual arguments.
	  For arguments, parentheses within each argument must balance; a
	  comma within such parentheses does not end the argument. See the
	  related document here
	  https://gcc.gnu.org/onlinedocs/cpp/Macro-Arguments.html

2014-06-23 14:35  ollydbg

svn9827:  - CC: minor refine comments in Tokenizer class.

2014-06-23 14:35  ollydbg

svn9826:  - SDK: document the EditorReuser class.

2014-06-23 14:34  ollydbg

svn9825:  - SDK: document the FileManager::Load() function.

2014-06-23 14:11  ollydbg

svn9824:  * CC: apply patch by Huki. When a virtual target is
	  selected, collect the defines for all child targets. Eg., in the
	  CB project, if the "All" virtual target is selected we should
	  collect defines from all sub-targets(scintilla, etc). See:
	  http://forums.codeblocks.org/index.php/topic,18315.msg132420.html#msg132420

2014-06-23 02:07  fuscated

svn9823:  * scripting: Add function to convert a compiler name to
	  compiler id in the CompilerFactory binding

2014-06-23 00:55  alpha0010

svn9822:  * SmartIndent: handle (some) smart indentation for
	  embedded languages (PHP, JavaScript, etc) within HTML

2014-06-22 14:05  mortenmacfly

svn9821:  * reverted r9820 in favor of a dedicated fix for the
	  issue reported here:
	  http://forums.codeblocks.org/index.php/topic,19325.msg132513.html
	  (for the rest its not prime-time yet - it may lead to white
	  editors on Windows, at least)

2014-06-22 13:41  mortenmacfly

svn9820:  * pumped (wx)Scintilla to v3.4.3+ (mercurial HEAD) to
	  fix several crashes experienced lately

2014-06-22 13:37  mortenmacfly

svn9819:  * fixed several crash candidates with EditorColourSet
	  while hunting a crash bug

2014-06-20 05:26  mortenmacfly

svn9818:  * closed ticket #18: C::B start page link should be
	  updated

2014-06-19 13:39  ollydbg

svn9817:  * CC: the workspace changed event does sent when user
	  try to close the application, so fix the wrong comments
	  description there. At this time, a NULL project pointer will be
	  returned from the GetActiveProject() function, thus we don't need
	  to update the GUI. Related forum post here:
	  http://forums.codeblocks.org/index.php/topic,19359.0.html

2014-06-19 13:38  ollydbg

svn9816:  * CCTest: add the macro definition CC_PARSER_TEST to
	  cctest project, it was removed in the rev 9644, but as Morten
	  suggested, it should be added back, since we need more details
	  about how the parser works. Related discussion here:
	  http://forums.codeblocks.org/index.php/topic,18991.msg130158.html#msg130158

2014-06-19 13:37  ollydbg

svn9815:  * CCTest: under wx2.8.12(Windows), I receive an assert
	  failure around wx's source fldlgcmn.cpp line 65, since
	  wxFD_DEFAULT_STYLE(wxFD_OPEN) can't be used toghther with
	  wxFD_SAVE. Here are the assert code in fldlgcmn.cpp.
	  // check that the styles are not contradictory
	  wxASSERT_MSG( !(HasFdFlag(wxFD_SAVE) && HasFdFlag(wxFD_OPEN)),
	  _T("can't specify both wxFD_SAVE and wxFD_OPEN at once") );

2014-06-19 13:36  ollydbg

svn9814:  * CCTest: fix a regression introduced in rev9658,
	  remove non existing source/header files from the
	  codecompletion.cbp.

2014-06-18 06:41  mortenmacfly

svn9811:  * fixed linking to object files directly as described
	  here: http://forums.codeblocks.org/index.php/topic,19256.0.html

2014-06-18 05:34  ollydbg

svn9810:  * CC: apply patch by Huki. Speed up canceling the
	  ongoing parser, if a parser is going to die, we don't need to
	  clean up the temporary tokens of the parser before its death.

2014-06-18 05:34  ollydbg

svn9809:  * CC: apply Huki's patch to fix a dead lock issue. This
	  is bug fix for crash when trying to cancel the ongoing project
	  parsing (to reparse, quit CB, etc). Easiest way to reproduce is
	  to select "Project -> Reparse current project" twice successively
	  (or once before the initial parsing has finished). It's in fact a
	  mutex deadlock. A more detailed explanation why the dead lock
	  happens can be found here:
	  http://forums.codeblocks.org/index.php/topic,18315.msg132376.html#msg132376

2014-06-17 15:16  mortenmacfly

svn9808:  * updated codesnippets project files for wx30 and
	  wx30_64 wrt to latest changes (removal of standalone app)

2014-06-16 07:18  mortenmacfly

svn9807:  * issue an error if creating crash log report fails
	  under Windows

2014-06-16 07:17  mortenmacfly

svn9806:  * better resource descriptions under Windows

2014-06-16 07:17  mortenmacfly

svn9805:  - brackets

2014-06-16 07:17  mortenmacfly

svn9804:  - removed invalid default target

2014-06-16 07:16  mortenmacfly

svn9803:  * pumped (wx)Scintilla to v3.4.3

2014-06-16 04:51  ollydbg

svn9802:  * CC: apply Huki's patch, it is a small bug fix in
	  nativeparser.cpp, NativeParser::ParseLocalBlock(). The function
	  ParseLocalBlock() is only supposed to be run for function
	  blocks(bodies), but it's actually run for any kind of code block
	  (classes, etc). So for example if the user clicks on a class
	  declaration, the entire class block will be parsed as if it's a
	  local block and several token info (such as the line index) will
	  be overwritten. To fix it a check is added. See:
	  http://forums.codeblocks.org/index.php/topic,18315.msg132338.html#msg132338

2014-06-15 11:22  ollydbg

svn9801:  * todo list plugin: disable refresh the list on double
	  click. When user double click on a todo list item, it will move
	  the caret to the source location, since the source file is
	  already parsed, we don't need to parse it again.

2014-06-15 11:22  ollydbg

svn9800:  * todo list plugin: use the second kind of constructor
	  of wxCombobox, as it can given a wxArrayString reference, no
	  hard-coded number is needed.

2014-06-15 11:21  ollydbg

svn9799:  - todo list plugin: add debug logs (it is currently
	  disabled)

2014-06-15 11:21  ollydbg

svn9798:  - todo list plugin: remove unused member variable
	  ToDoList::m_timer.

2014-06-15 11:20  ollydbg

svn9797:  - todo list plugin: remove an unused function prototype

2014-06-15 11:19  ollydbg

svn9796:  - todo list plugin: add many comments (no functional
	  change)

2014-06-15 11:10  ollydbg

svn9795:  * CC: the editor sends cbEVT_EDITOR_MODIFIED event when
	  it gets modified, but cbEVT_EDITOR_MODIFIED is not the correct
	  event to trigger reparsing of the current editor buffer, because
	  cbEVT_EDITOR_MODIFIED is only sent once the cvEditor::m_Modified
	  is set from false to true. Thus, adding a space character will
	  cause such event and cause unnecessary reparsing. We already have
	  an Code Completion option: Update parser when typing, if it is
	  enabled, it will trigger the reparsing in Editor Event Hook
	  handler.

2014-06-15 11:09  ollydbg

svn9794:  * CC: Apply Huki's patch, it fix several problems when
	  CC handles tilde character '~' before a token:
	  - Don't display the tilde in the right-click context menu, eg.,
	  Find declaration of: 'token' rather than Find declaration of:
	  '~token'. (i.e., don't prepend ~ to "NameUnderCursor" string)
	  - Also don't display the tilde in "symbol not found" messages
	  after go to decl / impl (i.e., don't prepend ~ to "target"
	  string).
	  - If the tilde prefix is there, first look for a class destructor
	  as usual but if one is not found, fallback to accept any
	  variable.
	  Discussion can be found here:
	  http://forums.codeblocks.org/index.php/topic,19357.msg132262.html#msg132262

2014-06-02 08:35  fuscated

svn9793:  - build fixes: Used wxSmith to regenerate headers using
	  wxSmith HeadersPCH and InternalHeadersPCH; Should not change any
	  functionality; but, might cause build errors. (thanks to
	  stahta01)

2014-06-02 08:35  fuscated

svn9792:  - build fixes: Redid the including of PCH headers
	  correctly; Should not change any functionality; but, might cause
	  build errors. (thanks to stahta01)

2014-06-01 10:45  ollydbg

svn9791:  - sdk: make code more readable by passing explicit type
	  argument in function template of std::min(). (thanks oBFusCATed)

2014-06-01 09:05  ollydbg

svn9790:  - sdk: add comments to the thread pool class. (no
	  functional changes)

2014-06-01 09:04  ollydbg

svn9789:  * sdk: fix a bug in cbThreadPool class which is a
	  regression of rev2480. The loop number for the function call
	  m_semaphore->Post() should be limited by both the idle threads
	  and the task queue's size. Full detailed description can be found
	  in
	  http://forums.codeblocks.org/index.php/topic,18904.msg129520.html#msg129520

2014-06-01 09:04  ollydbg

svn9788:  * sdk: reset the member variable
	  cbThreadPool::m_taskAdded to false after sending the
	  cbEVT_THREADTASK_ALLDONE event, otherwise, this variable is never
	  set to false once it was set to true.

2014-05-31 14:34  ollydbg

svn9787:  - CC: remove including of <prep.h> from
	  codecompletion.cpp, since sdk.h deliberately include prep.h
	  already.

2014-05-31 14:34  ollydbg

svn9786:  - CC: comments added for ClassBrowser and
	  ClassBrowserBuilderThread. Fix typos in comments. (no functional
	  changes)

2014-05-31 14:32  ollydbg

svn9785:  - CC: refine comments on how to collect system header
	  files. (no functional changes)

2014-05-31 09:58  jenslody

svn9784:  * codecompletion-plugin: fix potential crash-candidate
	  (I had a crash here on close with wxWidgets dynamic aui-branch)

2014-05-31 09:45  jenslody

svn9783:  * build-fix for CentOS/Redhat 5 and 6

2014-05-31 09:45  jenslody

svn9782:  * wxSmith-plugin: make windows and linux projectfiles
	  consistent, remove unneeded source/header-files

2014-05-28 00:20  fuscated

svn9781:  * CC: Fix crash when creating new file from the wizard
	  and there is a default code to be added to the file

2014-05-26 12:51  jenslody

svn9780:  * Removed standalone codesnipptes app from debian and
	  rpm control files, because it no longer exists.

2014-05-26 12:30  jenslody

svn9779:  * codesnippets-plugin: nopch buildfix

2014-05-23 03:45  pecanh

svn9778:  * CodeSnippets - Remove edit & search CB duplicate
	  code. Get back to a sane implementation.

2014-05-20 07:28  mortenmacfly

svn9777:  - updated SVN ignore patterns

2014-05-15 09:14  d_anselmi

svn9776:  * EditorTweaks, Aligner: Add shortcut to repeat the
	  last align operation

2014-05-15 08:46  d_anselmi

svn9775:  * ToolsPlus: Add context menu on output pages and add
	  entry to "close inactive tool pages".

2014-05-13 01:44  alpha0010

svn9769:  - sdk: reduce trivial compile warnings

2014-05-13 01:25  alpha0010

svn9768:  * sdk: Linux, support open containing folder with file
	  selected

2014-05-12 19:12  fuscated

svn9767:  * ui: Don't switch to the project's pane in the
	  notebook, when an editor is activated and the option to show the
	  file in the tree is enabled (apply modified patch #3556, thanks
	  davidallen)

2014-05-12 13:47  thomas-denk

svn9766:  - cppcheck complains (...and is right) that the
	  iterator is dereferenced after having been erased

2014-05-10 18:25  alpha0010

svn9765:  - CCManager: clear cache when passing control of
	  autocomp popup to a plugin, avoids artifacts in DoBufferedCC()

2014-05-09 22:20  fuscated

svn9764:  - builds: Removed the including of "sdk_common.h" from
	  inside contrib projects BrowseMarks and keybinder project cpp
	  files (thanks to stahta01)

2014-05-08 23:28  fuscated

svn9763:  - annoying-dlg: Make it possible to specify an id
	  different from the caption of the dialog (style fixes here and
	  there)

2014-05-08 23:27  fuscated

svn9762:  - compiler: Remember the choice of the user for
	  QuoteNeeded function

2014-05-06 04:16  alpha0010

svn9761:  * abbreviations, sdk: fix event handling for
	  Abbreviations autocomplete popup

2014-04-26 14:23  fuscated

svn9760:  - CC: fix autotools builds after rev9758

2014-04-26 14:23  fuscated

svn9759:  * compiler: Fix crash when using *No compiler* for
	  building projects (reported here
	  http://forums.codeblocks.org/index.php/topic,19071.msg131370.html#msg131370)
	  
	  Changed the return type of the GetCompilerTool method, so the
	  clients can
	  check if the returned value is correct or not. This requires a
	  change to
	  the SDK version, because the API/ABI is broken.

2014-04-24 06:25  ollydbg

svn9758:  -CC: image file name changes according to the previous
	  variable name refactoring. (no functional change)

2014-04-24 06:03  ollydbg

svn9757:  -CC: variable name refactoring. (no functional change)

2014-04-22 04:43  ollydbg

svn9756:  *CC: Find declaration of a class constructor now goes
	  to the correct constructor functions, not the class definition.
	  This fixes a bug reported here:
	  http://forums.codeblocks.org/index.php/topic,13753.msg92654.html#msg92654.
	  Discussions can also be found there.

2014-04-16 08:47  d_anselmi

svn9755:  * SmartIndent: Unindent "end block" correctly in VHDL
	  mode

2014-04-15 05:13  ollydbg

svn9754:  * CC: fix a bug that we don't get code suggestion list
	  for a function's parameter such as: "void foo_2(Msg const& msg)".
	  The reason for the bug is that when we try to get the base type
	  name for the variable Token "Msg const& msg", we wrongly get the
	  "const". The expect value should be "Msg". Discussions can be
	  found here:
	  http://forums.codeblocks.org/index.php/topic,17866.0.html

2014-04-15 05:12  ollydbg

svn9753:  - SDK: add comments and adjust code format. (no
	  functional change)

2014-04-11 03:03  alpha0010

svn9752:  - SpellChecker: update language rules for checkable
	  styles

2014-04-11 02:59  alpha0010

svn9751:  * sdk: add CoffeeScript lexer

2014-04-11 02:53  alpha0010

svn9750:  * sdk: add JavaScript lexer

2014-04-10 03:31  alpha0010

svn9749:  - cbEditor: use middle 1000 lines (instead of first
	  1000) as context for indent style auto-detect

2014-04-10 03:29  alpha0010

svn9748:  * SmartIndent: base next line indentation on the last
	  non-empty line

2014-04-07 14:41  alpha0010

svn9747:  - ccmanager.cpp: add svn keywords property

2014-04-07 14:29  alpha0010

svn9746:  * CCManager: fix crash candidate: delay canceling call
	  tips when C::B loses focus

2014-04-02 11:16  mortenmacfly

svn9745:  * pumped (wx)Scintilla to v3.4.1

2014-03-22 14:37  fuscated

svn9744:  * compiler: Make building/cleaning custom makefile
	  projects more verbose, so users can have a chance to see what
	  commands are executed

2014-03-20 00:27  alpha0010

svn9743:  * editor: swap header/source, if nothing else worked,
	  search for auto-generated by file

2014-03-17 20:02  alpha0010

svn9742:  - CCManager: Windows wx30 build fix

2014-03-14 20:01  alpha0010

svn9741:  - CCManager: wx30 build fix

2014-03-14 19:10  jenslody

svn9740:  * Remove more dead code, remained after r9690; avoid
	  asserts in debug-build

2014-03-14 19:10  jenslody

svn9739:  * Fix format string

2014-03-12 20:31  fuscated

svn9738:  - CC: no PCH build fix

2014-03-12 20:30  fuscated

svn9737:  Revert prev commit (pushed it by accident)

2014-03-12 20:29  fuscated

svn9736:  - temp: reuse more of the original wxTextInputStream

2014-03-12 20:08  fuscated

svn9735:  - fix typos in some comments

2014-03-12 19:46  alpha0010

svn9734:  - CCManager: add support for single-page call tips
	  (TODO: add controlling dialog config option)

2014-03-12 19:46  alpha0010

svn9733:  - CCManager: invalidate cached active ccPlugin when
	  user changes language on the active file

2014-03-12 19:46  alpha0010

svn9732:  * CCManager: support asynchronous display of CC
	  documentation popups

2014-03-12 19:46  alpha0010

svn9731:  * CCManager: better support memory of user's selection
	  of dynamic changing overloaded calltips (used in FortranProject)

2014-03-12 19:46  alpha0010

svn9730:  * CCManager: implement long term recall of user
	  prefered overloads in calltips

2014-03-12 19:46  alpha0010

svn9729:  - CC: noPCH build fixes for CCManager

2014-03-12 19:46  alpha0010

svn9728:  - CC: Add CCManager to the autotools build system

2014-03-12 19:46  alpha0010

svn9727:  - cbCodeCompletionPlugin: remove dead code from
	  interface

2014-03-12 19:46  alpha0010

svn9726:  - CCManager: fix display update when scrolling through
	  calltips on Windows

2014-03-12 19:46  alpha0010

svn9725:  * CCManager: allow codecompletion plugins to
	  (optionally) register their set of "interesting" characters that
	  launch calltip and codecomplete requests

2014-03-12 19:46  alpha0010

svn9724:  * cbCodeCompletionPlugin: add control parameter to
	  prevent CCManager from displaying calltips during a tooltip
	  request

2014-03-12 19:46  alpha0010

svn9723:  - sdk: build fix

2014-03-12 19:46  alpha0010

svn9722:  * CCManager: support multiline calltips

2014-03-12 19:46  alpha0010

svn9721:  * CCManager: (re)implement highlight of active
	  parameter in calltip (changes cbCodeCompletionPlugin API)

2014-03-12 19:45  alpha0010

svn9720:  * CCManager: show calltips on seperate pages, TODO: fix
	  highlighting active parameter

2014-03-12 19:45  alpha0010

svn9719:  * CCManager: when highlighting a token in a tooltip,
	  take into account word boundaries

2014-03-12 19:45  alpha0010

svn9718:  * CCManager: documentation popup, dynamically size
	  width while visible if more space becomes available (because the
	  autocomplete popup becomes narrower)

2014-03-12 19:45  alpha0010

svn9717:  * CCManager: documentation popup, show to left of the
	  autocomp list if it would otherwise go off the edge of the
	  monitor

2014-03-12 19:45  alpha0010

svn9716:  - sdk: complete documentation for
	  cbCodeCompletionPlugin (no functional change)

2014-03-12 19:45  alpha0010

svn9715:  - CCManager: do not autolaunch tooltips for dwell
	  events far in the whitespace margin

2014-03-12 19:45  alpha0010

svn9714:  - sdk: add more documentation for
	  cbCodeCompletionPlugin (no functional change)

2014-03-12 19:45  alpha0010

svn9713:  - sdk: begin documenting cbCodeCompletionPlugin (no
	  functional change)

2014-03-12 19:45  alpha0010

svn9712:  * CCManager: intercept stc scroll events on Windows and
	  forward to autocomp/documentation popups to allow scrolling them
	  with the mouse wheel

2014-03-12 19:45  alpha0010

svn9711:  * CCManager: force invalidation of (call)tip popup when
	  changing because Windows sometimes does not

2014-03-12 19:45  alpha0010

svn9710:  * CCManager: fix layout of documentation popup on
	  Windows

2014-03-12 19:45  alpha0010

svn9709:  * CCManager: prevent documentation popup from
	  displaying off the edge of the current monitor

2014-03-12 19:45  alpha0010

svn9708:  * CCManager: use surrounding context when starting the
	  autocomp popup as the default selection

2014-03-12 19:45  alpha0010

svn9707:  * cbEditor: move event connection to last step of init,
	  prevents EditorHooks from calling plugins before the editor is
	  initialized

2014-03-12 19:45  alpha0010

svn9706:  * CCManager: do not cancel calltip during refresh
	  (reduce flicker)

2014-03-12 19:45  alpha0010

svn9705:  * CCManager: utilize buffered CCTokens for autocomp

2014-03-12 19:45  alpha0010

svn9704:  - sdk: add default implementation for
	  cbCodeCompletionPlugin::DoAutocomplete()

2014-03-12 19:45  alpha0010

svn9703:  * CCManager: (partially) manage autocomp images

2014-03-12 19:45  alpha0010

svn9702:  * CCManager: recalculate calltip on undo and autocomp
	  select/cancel

2014-03-12 19:45  alpha0010

svn9701:  * CCManager: replace IsProviderFor with
	  CCProviderStatus

2014-03-12 19:45  alpha0010

svn9700:  * CCManager: improve hiding logic for documentation
	  popup

2014-03-12 19:45  alpha0010

svn9699:  - CC: remove spurious '!'

2014-03-12 19:44  alpha0010

svn9698:  * CCManager: hide tooltip on scroll

2014-03-12 19:44  alpha0010

svn9697:  * (wx)scintilla: send SCN_AUTOCCANCELLED event on
	  autohide (no matches in autocomp popup)

2014-03-12 19:44  alpha0010

svn9696:  - add some documentation (no functional change)

2014-03-12 19:44  alpha0010

svn9695:  * CCManager: implement documentation popup

2014-03-12 19:44  alpha0010

svn9694:  * CCManager: take control of autocomp box: token round
	  trip (part 3; complete)

2014-03-12 19:44  alpha0010

svn9693:  * CCManager: take control of autocomplete sorting (with
	  optional priority sort)

2014-03-12 19:44  alpha0010

svn9692:  - CC: remove dead code

2014-03-12 19:44  alpha0010

svn9691:  * build system: update project files

2014-03-12 19:44  alpha0010

svn9690:  * CC: remove dead code

2014-03-12 19:44  alpha0010

svn9689:  * CC: hook back in preprocessor autocomp

2014-03-12 19:44  alpha0010

svn9688:  * CCManager: take control of autocomp box: autolaunch
	  (part 2)

2014-03-12 19:44  alpha0010

svn9687:  * CCManager: take control of some parts of autocomp box
	  (part 1)

2014-03-12 19:44  alpha0010

svn9686:  * CCManager: swap tooltip interface for generic token
	  retrieval

2014-03-12 19:44  alpha0010

svn9685:  * CC: show constructor args in documentation popup

2014-03-12 19:44  alpha0010

svn9684:  * CCManager: update calltip on caret move

2014-03-12 19:44  alpha0010

svn9683:  * CC: improve constructor calltips

2014-03-12 19:44  alpha0010

svn9682:  * CCManager: implement calltip autolaunch

2014-03-12 19:44  alpha0010

svn9681:  * CCManager: take control of some parts of calltips

2014-03-12 19:44  alpha0010

svn9680:  * CC sdk: use std::vector instead of wxArray; improve
	  tooltip handling

2014-03-12 19:44  alpha0010

svn9679:  * sdk: create CCManager; CCManager: handle editor
	  tooltips

2014-03-11 20:25  fuscated

svn9678:  * debugger: Disable deleting of Locals and Function
	  arguments watches with the Delete key

2014-03-09 09:44  fuscated

svn9677:  - wx30: Setup all plugin targets on linux to be able to
	  start C::B

2014-03-08 19:50  fuscated

svn9676:  - compiler: Use enum instead of hard coded integer
	  values

2014-03-08 19:50  fuscated

svn9675:  - build: Fix no pch build (related to r9674)

2014-03-08 14:14  fuscated

svn9674:  * debugger: Improve logging, while trying to interrupt
	  the debuggee

2014-03-02 06:22  mortenmacfly

svn9673:  * CC: committed missing changes in ccoptionsdlg

2014-03-02 01:37  ollydbg

svn9672:  * CC: fix a bug introduced in rev 9665, checking on
	  m_PredefinedMacros was mistakenly removed, so I add it back.
	  Thanks Huki for pointing out this bug.

2014-03-02 00:41  ollydbg

svn9671:  - CC: fix build error of commit r9669.

2014-03-02 00:40  ollydbg

svn9670:  - CC: fix a typo in comments

2014-03-01 15:02  mortenmacfly

svn9669:  * CC: made the option for CC simpler: Either you choose
	  documentation with all (good) consequences or not (probably fixes
	  http://forums.codeblocks.org/index.php/topic,18991.msg130116.html#msg130116)
	  * CC: re-enable cctest parser again
	  * CC: add another WX macro

2014-03-01 12:44  mortenmacfly

svn9668:  * CC: show formatted args of methods, if docs helper is
	  disabled in the CC options

2014-03-01 09:58  fuscated

svn9667:  * syntax highlight: Fix bug for Bash language, where
	  the Scalar's background couldn't be set to default
	  
	  > The problem happens, because we doesn't save anything when a
	  colour is
	  reset to "Default" and so we store the default value in the
	  config.
	  > To fix the issue I've expanded the ConfigManager to be able to
	  save
	  colours that are equal to wxNullColour. And I've removed the
	  checks for
	  wxNullColour in order to take advantage of the new feature of the
	  ConfigManager.

2014-02-28 07:44  mortenmacfly

svn9666:  - whitespace and comments (no functional change)

2014-02-28 04:51  ollydbg

svn9665:  * CC: this is an improvement to Huki's
	  cc_includes_parsing.patch. When recursive parsing of cpp/h files,
	  we need to release the locker on each recursive call, so the
	  parser does not hold the locker for a very long time, the GUI
	  will not hang. The header files in the project do not be added to
	  the BatchParse any more, since it will mass the bool
	  Parser::Parse(const wxString& filename, bool isLocal, bool
	  locked, LoaderBase* loader) function a lot, if the header file is
	  marked as assigned in the TokenTree, it will not be parsed
	  immedially when Parser::Parse() is called. The related discussion
	  can be found in forum:
	  http://forums.codeblocks.org/index.php/topic,18315.msg128446.html#msg128446
	  - CC: remove Parser::AddPriorityHeader(), m_PriorityHeaders and
	  m_SystemPriorityHeaders, those are not used any more.
	  - CC: add comments on TokenTree
	  - CC: correct comments of Token->m_IsLocal

2014-02-26 21:41  fuscated

svn9664:  - debugger: Fix spelling (thanks White-Tiger)

2014-02-26 21:41  fuscated

svn9663:  - CC: Fix possible crash (thanks White-Tiger)

2014-02-26 20:40  fuscated

svn9662:  - build: Use CB_RELEASE_TYPE in BrowseTracker's project
	  for Windows

2014-02-25 00:04  fuscated

svn9661:  - ui: Modify the 'Save current perspective' dialog to
	  offer to save to the name of the current perspective instead of
	  the default one

2014-02-20 14:09  mortenmacfly

svn9660:  * applied fix to get rid of install plugin errors under
	  Windows (see here:
	  http://forums.codeblocks.org/index.php/topic,18916.msg129836.html#msg129836)
	  - thanks stahta01

2014-02-17 13:27  mortenmacfly

svn9659:  - CC: compilation fix with wx3.x
	  - CC: reduce warning about unused vars

2014-02-17 13:26  mortenmacfly

svn9658:  - CC: fixed some project files

2014-02-17 08:18  mortenmacfly

svn9657:  * pumped (wx)Scintilla to v3.3.9

2014-02-17 07:58  ollydbg

svn9656:  - CCTest: remove cctest.h/cpp from codecompletion.cbp,
	  since those files were already unused and deleted.

2014-02-17 07:52  ollydbg

svn9655:  * CC: Handle _attribute__ keyword when parsing.
	  E.g. the code line below build OK under GNU C compiler.
	  void __attribute__ ((noinline)) foo(){}
	  So, Let our parser skip "__attribute__ ((noinline))" to correctly
	  parse the foo function.

2014-02-16 11:34  ollydbg

svn9654:  * CC: bool Tokenizer::CalcConditionExpression() should
	  return false if exp.CalcPostfix() fails.

2014-02-15 09:41  fuscated

svn9653:  - autotools: Add silent rules if available (off by
	  default)

2014-02-14 08:01  ollydbg

svn9652:  * CC: Use (SkipComment() || SkipWhiteSpace()) instead
	  of (SkipComment() && SkipWhiteSpace()) in while loop statement.
	  When reviewing code, I found two places use such error test. One
	  is in void ReadParentheses(wxString& str, bool trimFirst)
	  function body, but the whole function is not used any more, so I
	  delete the function. The other place is in void
	  Tokenizer::ReplaceMacro(wxString& str) function body, which is
	  fixed now.
	  - CC: add comments about user defined rule such as
	  "BEGIN_EVENT_TABLE" -> "-END_EVENT_TABLE".

2014-02-14 07:32  mortenmacfly

svn9651:  - CCTest: compilation fixes for wx30, white space stuff

2014-02-14 06:56  mortenmacfly

svn9650:  - added doxygen control file for codecompletion plugin,
	  only

2014-02-14 03:14  ollydbg

svn9649:  - CC: remove member variable m_IsReplaceParsing from
	  Tokenizer class, since it has redundant function of
	  m_RepeatReplaceCount.
	  - CC: add comments to m_FirstRemainingLength member variable.

2014-02-14 03:13  ollydbg

svn9648:  * CC: reapply the commit rev9369(rev9369 is reverted on
	  rev9647), also fix a bug in Tokenizer::ReplaceBufferText()
	  function to limit the value of m_RepertReplaceCount, discussion
	  can be found in
	  http://forums.codeblocks.org/index.php/topic,18916.msg129712.html#msg129712
	  (thanks Huki)

2014-02-12 14:35  ollydbg

svn9647:  * CC: revert the change of rev9369 to workaround an
	  hang issue when parsing wx3.0 related projects(see the report and
	  discussion here
	  http://forums.codeblocks.org/index.php/topic,18916.msg129658.html#msg129658).
	  The original discussion about rev9369 is explained by Huki in the
	  forum post here:
	  http://forums.codeblocks.org/index.php/topic,18315.msg125579.html#msg125579
	  and
	  http://forums.codeblocks.org/index.php/topic,18315.msg125473.html#msg125473.

2014-02-12 07:59  mortenmacfly

svn9646:  - CC: added missing initialisation of member variables
	  (no functional change)

2014-02-11 03:29  ollydbg

svn9645:  - CC: remove unused function: void
	  Tokenizer::ReadToEOL(wxArrayString& tokens)
	  - CC: add some comments on Tokenizer class, code refactoring in
	  function void Tokenizer::ReadParentheses(wxString& str), merge
	  some case clauses in switch-case statement.
	  - CC: correct an comment error of bool
	  Tokenizer::SkipToStringEnd(const wxChar& ch)

2014-02-10 08:05  ollydbg

svn9644:  * cctest: add a framework of codecompletion test, the
	  details are listed in C::B forum topic (batch codecompletion test
	  framework -
	  http://forums.codeblocks.org/index.php/topic,17538.msg120196.html#msg120196)
	  1, remove the file cctest.h and cctest.cpp, because those
	  standalone classes/functions were moved to NativeParserTest class
	  (derived from NativeParserBase), so a lot of functions can be
	  reused from NativeParserBase.
	  2, remove CC_PARSER_TEST definition to reduce parser log
	  messages, only show codecompletion test result and the show fail
	  and pass testing counts. If the user need to see the full parser
	  log messages, they should define them, which will plot a log of
	  log messages.
	  3, redirect the wxLogMessage to the text ctrl of the frame.
	  4, fix typo in comments, and add some comments.
	  5, project target setting change, make it a gui app instead of
	  console app, and redirect the wxLogMessage to the wxTextCtrl.
	  6, set the default parsing file to testing/cc_function_decls.cpp,
	  not the default test.h
	  7, add the wxsmith file to cbp, so it can be opened quickly from
	  the project manager.
	  8, by default, Token tree structure is not logged, but it can be
	  logged by click the "Print Tree" button.
	  9, add some string variable to the wx and gcc search path, avoid
	  the hard-coded path.

2014-02-10 02:40  ollydbg

svn9643:  - CC: add and adjust comments, no function changed.

2014-02-09 15:02  ollydbg

svn9642:  * CC: improvement of the parser on handling enums.
	  (thanks Huki)
	  1, Uses expression solver to calculate the enum value, expand
	  macro assignments.
	  2, Supports enum assignment to a previous enum (checks under the
	  correct parent).
	  3, If the expression cannot be evaluated (eg, unknown macro),
	  leave it and the next enums blank and don't reset it to zero.
	  Discussions can be found here:
	  http://forums.codeblocks.org/index.php/topic,18315.msg129491.html#msg129491

2014-02-09 15:01  ollydbg

svn9641:  - CC: add comments for the symbol browser related
	  class.

2014-02-09 14:35  ollydbg

svn9640:  * CC: don't compare args in the
	  TokenTree::TokenExists() function if the token is a container
	  (such as a class Token), see: Topic, "Rev9537 introduce a bug
	  which will two class labels in the symbol browser" -
	  http://forums.codeblocks.org/index.php/topic,18917.msg129587.html#msg129587

2014-02-08 09:33  ollydbg

svn9639:  - CC: use wx_str() instead of c_str().

2014-02-08 09:32  ollydbg

svn9638:  * CC: fix a bug (logic error) introduced in rev 9601.

2014-02-06 08:02  mortenmacfly

svn9637:  * single file compilation: fix a crash if user selects
	  a wrong target

2014-02-03 23:15  fuscated

svn9636:  - CC: Make the code a bit more readable

2014-02-03 23:15  fuscated

svn9635:  - wx30: Fix a format specifier mismatch assert

2014-02-02 21:50  fuscated

svn9634:  - wx30: remove external deps on libcodeblocks.so,
	  because there is no need to relink anything depending on a so

2014-02-02 16:00  fuscated

svn9633:  * debugger: Try to detect when the terminal couldn't be
	  started and print an error instead of entering annoying loop

2014-02-02 16:00  fuscated

svn9632:  - ui: Remove --disable-factroy switch when starting
	  gnome-terminal, because it has been removed in 3.10

2014-02-02 16:00  fuscated

svn9631:  * debugger: Rework RunNixConsole to be even simpler,
	  the old version always printed an error message and return the
	  pid of the sleep command

2014-02-02 16:00  fuscated

svn9630:  - debugger: Make it possible to translate "Program
	  Console"

2014-02-02 16:00  fuscated

svn9629:  - debugger: Improve the comments in
	  cbDebuggerPlugin::RunNixConsole

2014-02-02 16:00  fuscated

svn9628:  - debugger: Extract GetConsoleTty to be a function
	  instead of a method of cbDebuggerPlugin

2014-02-02 15:59  fuscated

svn9627:  - debugger: Simplify the code in GetConsoleTty

2014-02-02 15:59  fuscated

svn9626:  - debugger: Remove useless local variable

2014-02-02 15:59  fuscated

svn9625:  - debugger: More code cleaning

2014-02-02 15:59  fuscated

svn9624:  - debugger: Code cleaning

2014-02-02 15:59  fuscated

svn9623:  * debugger: Determine console pid from ps-command,
	  returns either the same as we have now (e.g. xterm) or the pid of
	  the sleep-command and works therefore also with newer
	  gnome-terminals (thanks Jens)

2014-02-02 00:42  damienlmoore

svn9622:  * new command line option --user-data-dir=<path> to
	  specify an alternative directory for user settings and user
	  installed plugins

2014-02-01 22:16  fuscated

svn9621:  * editor: Add keywords for ruby 1.9, this fixes the
	  Ruby lexer

2014-02-01 22:16  fuscated

svn9620:  - editor: Add all lexers to the scintilla target in the
	  unix project

2014-02-01 15:37  fuscated

svn9619:  - editor: Improve the ruby sample

2014-02-01 15:37  fuscated

svn9618:  - editor: Respect the value specified in the lexer xml
	  for sample, use the name of the language as fallback

2014-02-01 08:06  ollydbg

svn9617:  - CC: if user don't want preprocessor directives,
	  NativeParser::AddCompilerPredefinedMacros should return false,
	  because nothing added to the macro definition string.

2014-01-31 15:19  ollydbg

svn9616:  * CC: fix a bug in the one parser for the whole
	  workspace mode. When a workspace contains many projects, if a
	  project's files were already parsed by previous projects and the
	  macros string is empty, then this project don't actually assign a
	  parsing task to the thread pool, thus there is not ParserEnd
	  event emitted, so we have no way to start parsing for the next
	  project. The general logic are below:
	  1, Thread task pool done -> Send event to Parser object
	  2, Parser::OnAllThreadsDone(CodeBlocksEvent& event) -> Send a
	  Parser End event
	  3, NativeParser::OnParserEnd -> m_TimerParsingOneByOne.Start(500,
	  wxTIMER_ONE_SHOT);
	  4, NativeParser::OnParsingOneByOneTimer ->
	  AddProjectToParser(projs->Item(i)); (parse the next project)
	  I simply let the AddProjectToParser() function return a boolean,
	  if it is false, we try to parse the next project. The discussion
	  can be found in
	  http://forums.codeblocks.org/index.php/topic,18836.0.html

2014-01-30 21:34  fuscated

svn9615:  - compiler: Fix memory leak at every call of
	  CompilerGCC::DoSwitchProjectTemporarily

2014-01-28 09:07  ollydbg

svn9614:  - CC: add comments.

2014-01-27 07:26  ollydbg

svn9613:  - CC: code refactoring, change AddPriorityHeaders()
	  function name to AddPriorityHeader() as it only add one file. Add
	  many comments in other places.

2014-01-27 00:08  fuscated

svn9612:  * CC: Remove the :: at the end of
	  classes/enums/namespaces in the CC toolbar

2014-01-26 14:46  fuscated

svn9611:  - compiler: Use nullptr instead of 0L

2014-01-26 14:46  fuscated

svn9610:  - fix possible memory leaks in ProjectAddTreeNode

2014-01-25 16:39  mortenmacfly

svn9609:  - updated doxygen file

2014-01-25 16:36  mortenmacfly

svn9608:  * allow to clean a single object file within a project
	  tree from project manager

2014-01-25 16:32  mortenmacfly

svn9607:  - whitespace

2014-01-25 16:31  mortenmacfly

svn9606:  * SDK update: Fix wrong spelling

2014-01-25 10:55  fuscated

svn9605:  - debugger: Fix a typo in the previous commit

2014-01-25 10:15  fuscated

svn9604:  - debugger: Improve const correctness when using the
	  type RegisteredPlugins

2014-01-25 10:15  fuscated

svn9603:  - debugger: Fix a crash introduced with the fix of a
	  possible memory leak in rev 9599

2014-01-24 08:41  ollydbg

svn9602:  - CC: code refactoring, add some comments for the
	  commit of rev9537, also change some function names (prefer
	  "formal/actual parameter" to "formal/actual argument")

2014-01-24 04:58  ollydbg

svn9601:  * CC: add sanity check for function like macro
	  expansion, both the formal and actual arguments should exist and
	  their count should match also, this try to fix a bug reported
	  here
	  http://forums.codeblocks.org/index.php/topic,18824.msg129092.html#msg129092

2014-01-24 04:56  ollydbg

svn9600:  - CC: fix the comments for ParserThread class, it is a
	  Task, not a Thread.

2014-01-23 20:25  fuscated

svn9599:  - debugger: Fix possbile memory leak

2014-01-23 01:58  fuscated

svn9598:  * compiler: We want to clear the "Build messages", but
	  not clear the "Build log"

2014-01-22 22:11  fuscated

svn9597:  * wx30: occurrence-highlight: Fix crash when adding the
	  selection to the list of permanently highlighted string

2014-01-22 22:11  fuscated

svn9596:  - occurrence-highlight: Make the code shorter

2014-01-22 14:36  ollydbg

svn9595:  - CC: code refactoring on macro replacement functions,
	  mainly change the function name and add comments, which should
	  make the code easier to understand. No function changes.

2014-01-20 16:33  damienlmoore

svn9594:  * Remove main menu accelerators for Cut, Copy, Paste
	  and Select All because they interfere with the system default
	  accelerators for those actions in focused widgets on Linux (and
	  probably OSX too). See:
	  http://forums.codeblocks.org/index.php/topic,18805.0.html

2014-01-20 13:19  mortenmacfly

svn9593:  - CC: appendix to last commit: fixed out-of-sync with
	  wxSmith file

2014-01-20 13:17  mortenmacfly

svn9592:  - CC: added "noexcept" to debug info dialog
	  - CC: simplified debug-info dialog

2014-01-20 13:01  mortenmacfly

svn9591:  * CC: added support for "noexcept" while parsing and
	  for doxygen, based on a patch from here:
	  http://forums.codeblocks.org/index.php/topic,18533.msg128778.html#msg128778
	  - CC: debug output of #defines used for parsing (in debug log
	  only)
	  - CC: avoid compiler warnings
	  - CCTest: More modern compiler as default settings (4.7.1 ->
	  4.8.1)

2014-01-20 12:12  mortenmacfly

svn9590:  * fixed crash for batch builds as reported here:
	  http://forums.codeblocks.org/index.php/topic,18769.0.html

2014-01-20 07:29  ollydbg

svn9589:  - sdk: fix build warning of redefined "nullptr", see
	  discussion
	  http://forums.codeblocks.org/index.php/topic,18704.msg128599.html#msg128599,
	  thanks stahta01.

2014-01-20 06:52  ollydbg

svn9588:  - src: remove build warnings when PCH is enabled under
	  Windows. Under Windows, we use two different PCH files,
	  "sdk.h.gch" can be used for targets expect sdk,
	  "sdk_precomp.h.gch" is only used for sdk target.

2014-01-20 06:51  ollydbg

svn9587:  - SDK: add comments for loggers.

2014-01-19 17:37  fuscated

svn9586:  * Fix regression introduced in rev9509: We don't ask to
	  save projects loaded in the default workspace

2014-01-19 16:23  alpha0010

svn9585:  - SpellChecker: do not check spelling of doxygen
	  keywords

2014-01-19 16:22  alpha0010

svn9584:  * lexer: add C++ doxygen keywords param[in] param[out]
	  and param[in,out]

2014-01-19 15:20  fuscated

svn9583:  - fix possible memory leak in NewFromTemplateDlg

2014-01-19 01:27  fuscated

svn9582:  - astyle: noPCH build fix (reordered includes a bit)

2014-01-19 01:06  fuscated

svn9581:  * compiler: Better logging for the CompileFile method

2014-01-19 01:06  fuscated

svn9580:  * compiler: Reorder the checks a bit. Call
	  CompilerValid for the proper target

2014-01-19 01:05  fuscated

svn9579:  - compiler: Remove unused method GetBuildTargetForFile

2014-01-19 01:05  fuscated

svn9578:  * compiler: Rework CompilerGCC::GetBuildTargetForFile
	  
	  > Replaced 0 with nullptrs.
	  > Removed the check for single target. This case could be used to
	  build the
	  file for the wrong target if there are more targets in the
	  project and
	  the current file belongs to only one target that is not active at
	  the
	  moment.

2014-01-19 01:05  fuscated

svn9577:  * compiler: Select the active target using the proper
	  array
	  
	  The old code uses the list of targets for the file only. This has
	  several
	  problems:
	  1. the wrong target would be selected if the file is not added to
	  all
	  targets
	  2. the wrong target would be selected if some of the targets is
	  not valid
	  for the current platform

2014-01-19 01:05  fuscated

svn9576:  * compiler: Fix crash when building single file and the
	  compiler for the selected target is invalid

2014-01-18 04:19  alpha0010

svn9575:  * Astyle: when formatting text, only mark as modified
	  lines that actually changed

2014-01-16 18:25  damienlmoore

svn9574:  * ToolsPlus: 1. use the same font as for editors in the
	  toolsplus output window 2. Improve input handling in the output
	  window by only passing through regular key strokes (arrow keys
	  etc will let the user navigate the window instead of sending
	  messages to the running process)

2014-01-13 12:30  mortenmacfly

svn9573:  * applied (modified) patch #3493: Use standard (if set)
	  when adding GCC built-in defines

2014-01-13 08:40  mortenmacfly

svn9572:  - applied wx30 patch to eliminate own propgrid include
	  as reported here:
	  http://forums.codeblocks.org/index.php/topic,18556.msg128676.html#msg128676

2014-01-12 14:16  fuscated

svn9571:  * watches: Make it possible to enter new watches with
	  the keyboard only in wx>=29
	  
	  The wx28 version of the code depends on a strange feature of
	  wxpropgrid,
	  that sends property selected message after the call
	  SelectProperty. This is
	  no longer the case with wxpropgrid integrated in wx29. To fix the
	  issue we
	  have to call BeginLabelEdit right in the call that adds the empty
	  property.

2014-01-12 14:15  fuscated

svn9570:  * watches: The focus parameter controls if the editor
	  for the property will get the focus, which is not what we want

2014-01-12 09:03  jenslody

svn9569:  * Fix deprecated commandline-options for clang; add
	  needed linker options; check for colliding options; modified
	  patch of Rahul Sundaram (Fedora maintainer)

2014-01-12 09:03  jenslody

svn9568:  * Detect and show general error (e.g. commandline error
	  with clang)

2014-01-10 22:28  mortenmacfly

svn9567:  * project options manipulator plugin: implemented
	  search for "equals" and "contains"
	  * project options manipulator plugin: fixed bug that "search not"
	  was not working for linker options

2014-01-10 21:49  mortenmacfly

svn9566:  * project options manipulator plugin: implemented
	  search/add/remove of resource include dirs

2014-01-10 21:30  jenslody

svn9565:  * Spec-file (for rpmbuild) and debian control-file: add
	  xterm to the dependencies. This is not really needed, because
	  other terminals can also be used, but it makes default
	  installation work out of the box on linux.

2014-01-10 21:30  jenslody

svn9564:  * Spec-file (for rpmbuild): move the *.so.* libs into
	  seperate packages to be compatible with (at least) Fedora and
	  RedHat/CentOS package layout. This makes updates on these systems
	  easier and less error-prone.

2014-01-10 21:23  mortenmacfly

svn9563:  * compileroptionsbase: added new SDK method: "HasVar"
	  to check is a custom var is present (so revision 9561 actually
	  builds)
	  - NOTE: this new SDK method is still missing to be exposed to the
	  scripting engine...

2014-01-10 21:19  mortenmacfly

svn9562:  - project options manipulator plugin: updated ToDo's

2014-01-10 21:17  mortenmacfly

svn9561:  * project options manipulator plugin: implemented
	  search/add/remove of custom vars
	  * project options manipulator plugin: made the result window
	  bigger

2014-01-10 18:49  alpha0010

svn9560:  * CC: improve support of constructor call tips

2014-01-10 17:46  mortenmacfly

svn9559:  * project options manipulator plugin: implemented
	  search/add/remove of linker libs

2014-01-10 17:38  mortenmacfly

svn9558:  - updated SVN EOL properties

2014-01-10 17:35  mortenmacfly

svn9557:  - project options manipulator plugin: svn properties
	  update

2014-01-10 17:31  mortenmacfly

svn9556:  - project options manipulator plugin: clarified
	  licensing

2014-01-10 17:28  mortenmacfly

svn9555:  * project options manipulator plugin: implemented
	  search/add/remove of include and lib folders

2014-01-10 16:58  mortenmacfly

svn9554:  * fixed several possible NULL pointer accesses in
	  projectmanagerui
	  * ignore GIT and Mercurial folders as well when recursively
	  adding files
	  - reduced warning concerning unused variables
	  - to be consistent within the file and with other files, use
	  common naming convention (ProjectManager=pm, Project=prj,
	  FileGroupsAndMasks=fgam, ProjectFile=pf, ProjectsArray=pa,
	  EditorBase=eb, cbEditor=ed, FileTreeData=ftd)

2014-01-10 15:28  mortenmacfly

svn9553:  - remove obscure logs folder from doxygen generation

2014-01-10 15:27  mortenmacfly

svn9552:  * rollback last commit (ooops)

2014-01-10 15:25  mortenmacfly

svn9551:  - remove obscure logs folder from doxygen generation

2014-01-09 10:14  mortenmacfly

svn9550:  * CppCheck plugin: add support for #defines

2014-01-09 09:46  fuscated

svn9549:  * help_plugin: Always search in all man help root
	  directories, not just the active one for the currently selected
	  help file

2014-01-09 09:46  fuscated

svn9548:  * help_plugin: Update man search dirs, when the user
	  changes the settings of the plugin

2014-01-09 09:46  fuscated

svn9547:  * help_plugin: Sort the result for the man page viewer

2014-01-09 09:46  fuscated

svn9546:  * help_plugin: Append the language of the manual page
	  at the end of the link

2014-01-09 09:46  fuscated

svn9545:  * help_plugin: Fix looking up symbolic linked man pages
	  in gz files (bug #19155)
	  
	  A second attempt to fix the problem. This time the problem
	  happened only
	  with links inside gz files. The reason was because the gz files
	  where read
	  using special code path and the logic for the symbolic link
	  handling has
	  never been reached for gz files. I've reordered the code a bit
	  and now this
	  case is handled correctly.

2014-01-07 08:15  mortenmacfly

svn9544:  - wxSmithSTC: spelling correction, no functional change

2014-01-07 08:12  mortenmacfly

svn9543:  - class wizard: only add include dir, if it is not
	  empty

2014-01-07 08:12  mortenmacfly

svn9542:  * AStyle plugin: updated astyle lib to v2.05

2014-01-07 08:09  mortenmacfly

svn9541:  * pumped character encoding detection to recent Mozilla
	  releases

2014-01-07 08:01  mortenmacfly

svn9540:  * pumped (wx) scintilla to v3.3.7

2014-01-07 07:04  ollydbg

svn9539:  * scripted wizard plugin: OpenCV project wizard
	  improvement for MinGW target, it first try to detect whether a
	  release version of OpenCV library exists, if not, try detecting
	  whether a debug version exists.

2014-01-06 21:59  killerbot

svn9538:  - -fpermissive

2014-01-05 21:40  alpha0010

svn9537:  * CC: show template formals and immediate ancestors in
	  class tooltips

2014-01-05 19:27  jenslody

svn9536:  * fix incorrect global variable in wx30_64 projectfiles

2014-01-05 19:27  jenslody

svn9535:  * change two copyrights to 2014

2014-01-05 17:58  mortenmacfly

svn9534:  * updated hunspell library for spellchecker plugin

2014-01-05 17:56  mortenmacfly

svn9533:  * added SVG of logo
	  * fixed wrong directory creation for spellchecker plugin on wx30,
	  64 btit

2014-01-05 10:18  mortenmacfly

svn9532:  * fixed issue introduced with last commit

2014-01-05 09:47  mortenmacfly

svn9531:  * applied patch by stahta01: use $(WX_CFG) $(WX_SUFFIX)
	  consistently all over the place (see here:
	  http://forums.codeblocks.org/index.php/topic,18645.msg128427.html#msg128427)

2014-01-05 09:45  mortenmacfly

svn9530:  * applied patch by stahta01: remove tinyxml linkage
	  (see here:
	  http://forums.codeblocks.org/index.php/topic,18645.msg128424.html#msg128424)

2014-01-05 09:43  mortenmacfly

svn9529:  * applied patch by stahta01: remove wxscintilla linkage
	  (see here:
	  http://forums.codeblocks.org/index.php/topic,18645.msg128424.html#msg128424)

2014-01-05 00:13  jenslody

svn9528:  * added ProjectOptionsManipulator-plugin to spec-file
	  template

2014-01-05 00:03  fuscated

svn9527:  - move virtualbuildtargetsdlg, editkeywordsdlg and
	  projectdepsdlg from sdk to src

2014-01-04 23:30  jenslody

svn9526:  * autotools: fix for make dist

2014-01-03 15:34  mortenmacfly

svn9525:  - ProjectOptionsManipulator: added ToDo list based on
	  user feedback from the forums

2014-01-03 14:44  jenslody

svn9524:  * autotools: build fixes for ProjectOptionsManipulator.

2014-01-03 14:12  mortenmacfly

svn9523:  * added new plugin: ProjectOptionsManipulator, see here
	  for details:
	  http://forums.codeblocks.org/index.php/topic,18711.0.html

2014-01-03 13:24  fuscated

svn9522:  - fix noPCH build broken in r9518

2014-01-03 13:05  mortenmacfly

svn9521:  - tiny fix for C::B project file related to wx30

2014-01-03 11:11  mortenmacfly

svn9520:  * remove now obsolete wx29 project files, scripts etc.
	  wx30 should be the standard now and is as feature-complete as
	  wx29

2014-01-03 11:07  mortenmacfly

svn9519:  * changed all wx30 project files NOT to use PCH to make
	  them compilable with TDM GCC 4.8.1
	  * changed all wx30_64 files to use WX30_64 global var to be able
	  to have different wxWidgets sources for 32 and 64 bit
	  * added missing wx30_64 project files and workspaces
	  * changed build scripts to clearly show whats being compiled in
	  the window bar

2014-01-03 00:11  alpha0010

svn9518:  * file properties: count statistics language
	  independantly

2014-01-03 00:08  alpha0010

svn9517:  * editor: recognize more stl headers as C++ for syntax
	  highlighting

2014-01-02 23:40  fuscated

svn9516:  * help_plugin: Fix looking up symbolic linked man pages
	  in gz or bz2 files (bug #19155)
	  
	  The problem happened because the man file stored the path without
	  specifying
	  the extension of the target man file on disk. This commit adds
	  check if the
	  linked file exists and if it doesn't then it checks if there is a
	  gz or bz2
	  file with the same name. It such file exists it loads it.

2014-01-01 13:21  biplab

svn9515:  * Fixed: svn:eol property.

2014-01-01 13:12  biplab

svn9514:  * Fixed: Incorrect post-build step.

2013-12-31 19:45  jenslody

svn9513:  * tiny fixes for debian build-files, needed after new
	  release

2013-12-31 10:27  fuscated

svn9512:  - ui: Switch to the Projects page, during the execution
	  of the "Show file in tree" command

2013-12-30 22:50  fuscated

svn9511:  - compiler: Fix variable descriptions in the UI to
	  match the variables in the code (thanks cacb)

2013-12-30 13:45  jenslody

svn9510:  * autotools: fix build-issue with newer automake
	  (>=1.14) described here:
	  http://forums.codeblocks.org/index.php/topic,18719.msg128287/topicseen.html#msg128287

2013-12-30 13:17  fuscated

svn9509:  * sdk: Don't ask for saving a project twice, while
	  closing workspace (patch #3523, thanks bat)

2013-12-30 13:00  fuscated

svn9508:  * editor: Cancel any calltips or code-completion lists,
	  when the users scrolls the editor

2013-12-30 10:43  fuscated

svn9507:  * wx30: Fix a crash, because of wrong usage of
	  wxPaintDC in non-EVT_PAINT handler (thanks stahta01)

2013-12-28 10:07  jenslody

svn9506:  * Change version in spec-file, to include svn-revision

2013-12-27 18:58  mortenmacfly

svn9505:  * copied modified features from 13.12 branch back into
	  trunk (2)

2013-12-27 18:55  mortenmacfly

svn9504:  * merged needed features from 13.12 branch back into
	  trunk (1)

2013-12-24 09:10  jenslody

svn9497:  * change colorprofiles of several png images, which can
	  lead to error-messages with wx3.0

2013-12-22 16:29  mortenmacfly

svn9495:  * cbEditor: Fixed "no folding with new file" as
	  reported here:
	  http://forums.codeblocks.org/index.php/topic,18015.0.html

2013-12-21 14:59  biplab

svn9494:  * Fixed: Typos.

2013-12-16 16:21  alpha0010

svn9492:  * compiler: fix MinGW auto detection, we actually
	  distribute 'mingw32-make' (not 'make')

2013-12-06 06:03  mortenmacfly

svn9487:  * reverted revision 9482, as it is not working under
	  Windows

2013-12-05 19:44  fuscated

svn9486:  - ui: Add a link to the plugin section in the wiki in
	  the Plugin Manager Dialog (thanks BlueHazzard)

2013-12-03 20:50  mortenmacfly

svn9485:  - compile fix after last commit

2013-12-03 20:34  mortenmacfly

svn9484:  - a couple of more PCH fixes

2013-12-03 19:38  fuscated

svn9483:  - modpoller: Fix noPCH compilation with wx30

2013-12-03 08:53  mortenmacfly

svn9482:  * updated several flags for pgifortran compiler (thanks
	  darmar)

2013-12-03 08:52  mortenmacfly

svn9481:  * updated lexer for fortran (thanks darmar)

2013-12-03 08:50  mortenmacfly

svn9480:  * updated several flags for gfortran compiler (thanks
	  darmar)

2013-12-02 09:14  fuscated

svn9479:  - allow translations for Cscope and Occurrence
	  highlight plugins (thanks gd_on)

2013-12-01 23:55  fuscated

svn9478:  - colourmanager: Fix spelling for Editor:Highlight
	  occurrence

2013-12-01 21:44  fuscated

svn9477:  * project_tree: Properly deselect all items, before
	  selecting the new item (old code failed with multiple selected
	  items)

2013-12-01 15:56  fuscated

svn9476:  * project_tree: Add menu items for controlling if a
	  file should be compiled/linked (this commit have been lost during
	  the move of code to ProjectManagerUI)

2013-12-01 10:48  biplab

svn9475:  * Accepted: [Feature Request #5693] FindReplace dlg +
	  multiple search masks.
	  * Applied: [Patch #3507] 005693 : FindReplace dlg + multiple
	  search masks

2013-12-01 10:22  biplab

svn9474:  * Added: Windows 64 bit workspace file for contrib
	  plugins.

2013-12-01 10:20  biplab

svn9473:  * Added: Windows 64 bit Project files for contrib
	  plugins.

2013-11-30 06:32  mortenmacfly

svn9470:  * updated Koders plugin to use OLOHO

2013-11-29 18:20  biplab

svn9469:  * Update: Year in copyright statement.

2013-11-27 15:23  mortenmacfly

svn9468:  * compilation fix as reported here:
	  http://forums.codeblocks.org/index.php/topic,18627.0.html

2013-11-26 08:16  ollydbg

svn9467:  * CC: Check anonymous Tokens by using m_IsAnonymous not
	  g_UnnamedSymbol string comparison.

2013-11-26 07:26  ollydbg

svn9466:  * CC: fix bug introduced in rev6058, see discussion
	  http://forums.codeblocks.org/index.php/topic,18565.0.html
	  * CC: Apply patch #3494, add a RefineAnonymousTypeToken()
	  function to show anonymous token in a more user friendly way, Add
	  a m_IsAnonymous member variable to Token class, and use
	  it.(thanks hovercraft)
	  * CC: handling __at keyword for SDCC compiler.

2013-11-25 19:25  fuscated

svn9465:  * compiler: Fix possible crash reported here
	  http://forums.codeblocks.org/index.php/topic,18611.0.html

2013-11-22 20:41  alpha0010

svn9462:  * wizard: fix wxWidgets wizard bug introduced in rev
	  9455, compiler plugin can handle at most one scripted option
	  (remove obsolete '-Wno-attributes' from MSW conditional)

2013-11-16 00:41  alpha0010

svn9455:  * wizard: re-brand wxWidgets 2.9.x (svn) as 3.0.x and
	  add flag '-Wno-unused-local-typedefs' for new GCC on older wx
	  versions

2013-11-15 20:02  fuscated

svn9454:  * global-vars: Save the lflags variable (thanks
	  stahta01)

2013-11-15 18:49  jenslody

svn9453:  * debian build-system: remove possible artifacts of
	  former installs in postinst-script

2013-11-15 01:36  alpha0010

svn9452:  * CC: parser ignore invalid documentation lines of
	  style "////"

2013-11-14 23:03  jenslody

svn9451:  * debian build-files: partly revert last commit,
	  occiurrences highlighter is not a contrib, but a core plugin,
	  template and config-files stay removed.

2013-11-14 22:56  jenslody

svn9450:  * debian build-system: add occurrences highlighter
	  plugin to contrib-plugins, remove template- and config-files

2013-11-12 22:38  fuscated

svn9449:  * debugger: Do "Jump to frame" on double click if
	  "switch to frame" is disabled

2013-11-12 22:01  fuscated

svn9448:  * debugger: Don't override the value for simple pointer
	  types with the address of the expression
	  
	  > Try to be a bit smarter and set the value of the auto
	  dereferenced pointer
	  expressions only if the parsed value is empty or doesn't contain
	  the
	  address of the pointer.

2013-11-10 20:31  fuscated

svn9447:  - debugger: Fix spelling error in the settings for
	  GDB/CDB

2013-11-10 15:32  alpha0010

svn9446:  - aboutDlg: add myself as a developer

2013-11-10 15:24  alpha0010

svn9445:  - src: fix invalid PCH warning for find_replace.cpp on
	  Windows

2013-11-10 15:23  alpha0010

svn9444:  * compiler: add registry based autodetection of TDM-GCC

2013-11-09 14:13  ollydbg

svn9443:  * CC: Fix merged Token names bug introduced in rev9438
	  by adding a underscore between the file index and unnamed
	  class/struct/enum Token index. See discussion in
	  http://forums.codeblocks.org/index.php/topic,18542.0.html.

2013-11-08 21:26  jenslody

svn9440:  * autotools: change configure-script, so
	  "--with-contrib-plugins=<list>" accepts "yes" and "no" as
	  equivalent for "all" and "none"; so default
	  "--with-contrib-plugins" and "--without-contrib-plugins" can be
	  used. Fixes #19156 .

2013-11-08 20:59  fuscated

svn9439:  - wizards: Fix bug #19168
	  
	  * Add -ansi to the build options of a plugin on Linux.
	  * Also add -fPIC, so building the shared library on 64bit Linux
	  works out
	  of the box.

2013-11-08 02:55  ollydbg

svn9438:  * CC: fix bug ##19186,
	  http://developer.berlios.de/bugs/?func=detailbug&bug_id=19186&group_id=5358,
	  when a file was re-parsed, the Token name for the unnamed struct
	  always changed, this is because we use a global counter for all
	  the unnamed struct/enum. Solution: let these counters file
	  related, which means each file have its own counter staring from
	  zero, and the Token name has a file index prefix like
	  __UnnamedStruct70, where 7 is refer to a file index, the 0
	  donates the unnamed struct counter. Also, the counter is started
	  from 0, it was 1 before. Reparse does not change the file index,
	  so we will not produce garbage token names.

2013-11-07 20:26  jenslody

svn9437:  * we can not use mozilla's debug-stuff; undef DEBUG in
	  mozilla's nsDebug.h, in case we configure with --enable-debug;
	  fixes issue described here:
	  http://forums.codeblocks.org/index.php/topic,18528.msg126866.html#msg126866

2013-11-07 13:50  ollydbg

svn9436:  - CC: add comments

2013-11-05 21:08  alpha0010

svn9435:  * compiler: fix missing flags for ICC under linux

2013-11-05 11:56  mortenmacfly

svn9434:  - non-PCH fix

2013-11-04 22:01  fuscated

svn9433:  - follow the wx's policies by not including windows.h
	  directly, but through a wrapper (thanks stahta01)

2013-11-04 15:18  jenslody

svn9432:  * fixes for wx3.0 projectfiles on linux.

2013-11-04 15:12  jenslody

svn9431:  * More svn:executable fixes for update30-scripts

2013-11-04 14:59  jenslody

svn9430:  * set svn:executable for update30 shell-script of
	  wxSmith

2013-11-04 09:14  jenslody

svn9429:  * fix problem with line-numbers being shown for newly
	  opened editors, even if the appropriate options is turned off;
	  see:
	  http://forums.codeblocks.org/index.php/topic,18516.msg126649.html#msg126649

2013-11-04 09:11  jenslody

svn9428:  * set svn:executable for update30 shell-script

2013-11-03 21:37  alpha0010

svn9427:  - reduce trivial compiler warnings

2013-11-02 19:42  alpha0010

svn9426:  - sdk and src: make more use of nullptr (no functional
	  change)

2013-11-01 00:11  alpha0010

svn9425:  * SpellChecker: fix bug 19164, incorrect highlighting
	  of multibyte characters

2013-10-31 23:54  alpha0010

svn9424:  - compiler: enable localization of XML based compiler
	  interfaces

2013-10-26 21:14  alpha0010

svn9423:  * CC: fix doxygen processing bug
	  http://forums.codeblocks.org/index.php/topic,18471.0.html

2013-10-26 11:53  fuscated

svn9422:  - noPCH build fix

2013-10-26 00:32  alpha0010

svn9421:  - stc: read only file, middle click, do not offset the
	  caret

2013-10-25 20:06  alpha0010

svn9420:  * sdk: emulate middle mouse paste under all systems due
	  to buggy wxClipboard

2013-10-24 15:54  alpha0010

svn9419:  - sdk: fix trivial shadow warnings

2013-10-22 07:06  mortenmacfly

svn9417:  - corrected comments

2013-10-22 01:11  ollydbg

svn9416:  * CC: fix a bug in function
	  ParserThread::GetBaseArgs(), when using a wxChar pointer to
	  access the wxString, we should always check whether the pointer
	  reaches the end of wxString. Hopefully solve the problems
	  reported in
	  http://forums.codeblocks.org/index.php/topic,18438.0.html

2013-10-21 19:54  alpha0010

svn9415:  - sdk: document GetEOLStr()

2013-10-20 20:50  jenslody

svn9414:  * Fix layout issues with listbbok in settings-dialogs,
	  when changing from icon- to list-view; still not absolutely
	  satisfying on all platforms.

2013-10-20 09:35  mortenmacfly

svn9413:  - PCH fix

2013-10-20 09:24  mortenmacfly

svn9412:  * applied patch to fix wrong wx version guard as
	  reported here:
	  http://forums.codeblocks.org/index.php/topic,18412.msg126056.html#msg126056

2013-10-20 08:40  mortenmacfly

svn9411:  * some fixes to 64 bit project files (object files
	  conflict between wx29 and wx30 builds)

2013-10-19 23:45  alpha0010

svn9410:  - reduce macro warnings

2013-10-19 23:20  alpha0010

svn9409:  * sdk: wrap common code with GetEOLStr()

2013-10-19 15:30  mortenmacfly

svn9408:  - fixed wrong name of wx29_64 global variable

2013-10-19 14:57  mortenmacfly

svn9407:  - some bug-fixes related to last commit (wx30 project
	  files and scripts)

2013-10-19 14:36  mortenmacfly

svn9406:  * added C::B build system for wx 3.0.x

2013-10-19 12:16  jenslody

svn9405:  * fix issue on WinXP described here:
	  http://forums.codeblocks.org/index.php/topic,18445.msg126199.html#msg126199;
	  introduced with wx2.9+ compatibility changes in commit 9390;
	  wxLC_LIST seems to be broken on WinXP wx2.8

2013-10-19 01:31  alpha0010

svn9404:  - wx29_64 cbp: remove absolute path from autorevision
	  command

2013-10-18 22:54  damienlmoore

svn9403:  FileManager plugin: use CodeBlocksThreadEvent instead
	  of wxCommandEvent to avoid nasty crash (wxCommandEvent has thread
	  safety issues)

2013-10-17 07:18  fuscated

svn9402:  * ui: Implement feature #5694 - Show the active file in
	  the editor in the project tree automatically (thanks davidallen)

2013-10-16 00:30  alpha0010

svn9401:  * CC: fix doubled quotes in header completion

2013-10-14 19:53  jenslody

svn9400:  * add tinytr.h to sdk-headers; fix issue described
	  here:
	  http://forums.codeblocks.org/index.php/topic,18432.msg126073.html#msg126073

2013-10-14 03:08  alpha0010

svn9399:  * plugins: handle EOL mode AUTO with platform default
	  for code generation

2013-10-12 16:25  jenslody

svn9398:  * zoom linenumber- and folding-margin, if the editor is
	  zoomed; also fixes an issue described here:
	  http://forums.codeblocks.org/index.php/topic,18229.msg124738.html#msg124738

2013-10-12 14:53  alpha0010

svn9397:  * wxScintilla: prevent jiggling on autocomp rebuild
	  when already shown

2013-10-10 23:39  alpha0010

svn9395:  * apply patch 3470: project tree: fix crash on delete
	  while dragging (modified)

2013-10-10 14:02  ollydbg

svn9394:  - CC: add comments for the buffer replacement function
	  (used for macro expansion), refine comments for some member
	  variables in TokenTree class, add comments to describe the basic
	  search tree.

2013-10-08 09:17  jenslody

svn9393:  * Make sure "Save As" works correctly, thanks Pecan;
	  see:
	  http://forums.codeblocks.org/index.php/topic,18400.msg125820.html

2013-10-08 08:37  fuscated

svn9392:  * sdk: Fix bug "Ticking any checkbox in the File
	  properties dialog cause the file to be made read-only"

2013-10-07 19:47  alpha0010

svn9391:  - app: add 256x256 resolution to app icon (Windows)

2013-10-07 14:32  jenslody

svn9390:  * implement hiding of icons in settings dialogs with
	  wxGTK and fix an assert in wx2.9+ on windows; not absolutely
	  satisfied

2013-10-07 14:32  jenslody

svn9389:  * wx2.9+: fix for new OnExecute-parameters, the old
	  ones are no longer supported

2013-10-07 14:32  jenslody

svn9388:  * wx2.9+: fix assert in Compiler::SaveSettings()

2013-10-07 14:32  jenslody

svn9387:  * IncrementalSelectListDlg: connect OnKeyDown-event
	  directly to list- and text-control, because SetNextHandler does
	  not work anymore with wx2.9

2013-10-06 20:04  mortenmacfly

svn9386:  * proper fix of crash / bad behaviour due to
	  EditorTweaks plugin as reported here:
	  http://forums.codeblocks.org/index.php/topic,18358

2013-10-06 18:22  fuscated

svn9385:  * Editor Tweaks: Fix pretty strangely looking function
	  introduced with rev9382

2013-10-06 17:40  fuscated

svn9384:  - noPCH: Fix compilation failure due to previous commit

2013-10-06 17:13  fuscated

svn9383:  - settings: Don't allow an editor colour theme to
	  contain invalid characters
	  
	  If the user enters strange characters for the name of a theme and
	  then
	  closes C::B, then C::B will crash on the next start, because the
	  xml
	  config file will be invalid. This happens, because the name of
	  the theme is
	  used as a tag. With this commit we force the user to use valid
	  name.

2013-10-06 10:46  ollydbg

svn9382:  * Editor Tweaks plugin: introduce wrap char mode, code
	  refactoring, remove a member variable since programmatically
	  change the menu item status does not trigger its event handler.
	  see discussion in
	  http://forums.codeblocks.org/index.php/topic,18358.0.html for
	  details.

2013-10-05 21:59  fuscated

svn9381:  - colours: Make sure the changed colour is shown in the
	  color picker, not the one before the edits

2013-10-04 21:24  fuscated

svn9380:  - wx29: Fix compilation of wxContribItems on OSX
	  (thanks mojca)

2013-10-04 21:17  fuscated

svn9379:  * sdk: Fix bug #19025 'std::bad_alloc' when loading
	  cmake generated project
	  
	  When the wxFile is given a directory instead of a file the Length
	  method
	  returns quite a big number, causing std::bad_allow exceptions.
	  This is
	  cause by ill-formed cbp file, that has a <unit> tag that points
	  to a
	  directory. Checking if the fileName points to a directory and
	  exiting fixes
	  the crash.

2013-10-01 20:30  fuscated

svn9378:  - libfinder: Fix compilation with clang on OSX (thanks
	  mojca)

2013-10-01 20:30  fuscated

svn9377:  - sdk: Add support for c++11 std libs like libc++ on
	  OSX (thanks mojca)

2013-10-01 20:30  fuscated

svn9376:  - profiler: Fix compilation with clang on OSX

2013-10-01 20:30  fuscated

svn9375:  - wx29: OSX fixes (thanks mojca)

2013-09-29 09:51  fuscated

svn9374:  - colours: Use wxSYS_COLOUR_WINDOWTEXT colour instead
	  of just black for the text colour

2013-09-29 09:38  fuscated

svn9373:  - colours: Use the proper constant for start page's
	  background, wxSYS_COLOUR_BACKGROUND returns the destop's colour
	  on windows (related to r9361)

2013-09-29 09:35  ollydbg

svn9372:  - CC: comments on IsBackslashBeforeEOL().

2013-09-29 09:34  ollydbg

svn9371:  - CC: remove unused function

2013-09-29 09:33  ollydbg

svn9370:  - CC: comments on m_TokenIndex member variable

2013-09-29 08:25  ollydbg

svn9369:  * CC: reliable working of UngetToken() when macro
	  expansion is involved, it set the undo index value
	  correctly(thanks Huki)
	  * CC: avoid take backward step (UngetToken) twice in the
	  Tokenizer class
	  - CC: comments added for Tokenizer class

2013-09-27 07:18  fuscated

svn9368:  - fix noPCH build

2013-09-25 22:23  killerbot

svn9367:  - build fix : include missing

2013-09-25 21:39  fuscated

svn9366:  - info_window: Reformat the code a bit

2013-09-25 21:39  fuscated

svn9365:  * info_window: Improve multi monitor support
	  
	  > What this commit does is to place the window on the same
	  monitor as the
	  main frame of C::B. This make it more visible and also fixes a
	  bug, for
	  setups where the second monitor has smaller resolution. In this
	  case the
	  old code has been placing the window outside of the visible area
	  of the
	  second smaller monitor (at least on linux).

2013-09-25 21:27  fuscated

svn9364:  * ui: Allow the user to change the colours of the
	  linenumbers and the margin in the editor

2013-09-21 06:06  jenslody

svn9363:  * wx2.9+: fix crash when opening advanced compiler
	  options, due to incorrect number of rows in wxFlexGridSizer

2013-09-21 05:23  jenslody

svn9362:  * wx2.9+: fix crash when opening file properties
	  dialog, due to incorrect number of rows in wxFlexGridSizer

2013-09-20 10:12  mortenmacfly

svn9361:  * applied patch #3426: Allow modify "start here page"
	  background colour

2013-09-20 10:03  mortenmacfly

svn9360:  - updated wxThings from wxCode repo (no functional
	  changes)

2013-09-20 09:36  mortenmacfly

svn9359:  * applied patch #3480: Fix menu entry for scripts are
	  not updated

2013-09-20 09:35  mortenmacfly

svn9358:  * updated project files to reflect changes in
	  (wx)Scintilla

2013-09-20 09:29  mortenmacfly

svn9357:  * applied patch #3478: Add line breaking option to
	  AStyle

2013-09-20 09:29  mortenmacfly

svn9356:  * pumped astyle lib to v2.0.4 final

2013-09-20 09:28  mortenmacfly

svn9355:  * pumped (wx)scintilla to v3.3.5

2013-09-20 07:02  mortenmacfly

svn9354:  - white space removal

2013-09-20 07:02  mortenmacfly

svn9353:  * fixed spurious 0x00 chars in source files (how did
	  that happen?! hopefully not though C::B's editor ;-))

2013-09-20 07:01  mortenmacfly

svn9352:  * pumped wxPDFDoc to 0.9.4 (includes many changes
	  related to wx29)

2013-09-20 07:00  mortenmacfly

svn9351:  * CC: tiny fix as reported here:
	  http://forums.codeblocks.org/index.php/topic,18315.0.html

2013-09-19 10:03  jenslody

svn9349:  * ThreadSearch-plugin: make commit 9346 wxGTK only,
	  because it causes an annoying popup on windows (the same that
	  came up on wxGTK before)

2013-09-18 22:14  jenslody

svn9348:  * ThreadSearch-plugin: immediately return from
	  loggert-tree click event, if the tree was cleared; avoid annoying
	  pop up of "Failed to retrieve file path and line number"
	  messagebox after leaving configuration dialog (happened at least
	  on wxGTK)

2013-09-18 22:13  jenslody

svn9347:  * ThreadSearch-plugin: do not skip delete-event, to
	  avoid double invocation of it, fixes an assert and (sometimes)
	  crash with wx2.9+ (at least with wxGTK)

2013-09-18 22:13  jenslody

svn9346:  * ThreadSearch-plugin: use right-click event instead of
	  menu event to invoke context-menu of logger-tree, this avoids
	  annoying pop up of the infopanes context menu after the tree
	  contextmenu was closed (happened at least with wxGTK)

2013-09-18 22:13  jenslody

svn9345:  * ThreadSearch-plugin: really remove last item of
	  toolbarcombo, if max-count is reached

2013-09-18 22:13  jenslody

svn9344:  * ThreadSearch-plugin: initially load search history in
	  toolbar combobox

2013-09-18 01:59  ollydbg

svn9343:  * CC: when reading the parentheses, set the correct
	  Tokenizer mode(tsReadRawExpression), also skip comments after the
	  first '(' (thanks Huki)

2013-09-18 01:59  ollydbg

svn9342:  * CC: only skip after single equal sign, not double
	  equals sign (thanks Huki)

2013-09-18 01:58  ollydbg

svn9341:  * CC: correctly find the end of a c style comment
	  (thanks Huki)

2013-09-18 01:57  ollydbg

svn9340:  * CC: add missing breaks in switch case statements
	  (thanks Huki)

2013-09-18 01:57  ollydbg

svn9339:  * CC: handle macro definition correctly, distinguish
	  between function like macro definition and variable like
	  definition (thanks Huki)

2013-09-17 22:52  fuscated

svn9338:  - ui: Translate some strings in the config panel of
	  DragScroll plugin (thanks gd_on)

2013-09-17 22:52  fuscated

svn9337:  - ui: Translate some strings in the config panel of
	  BrowseTracker plugin (thanks gd_on)

2013-09-17 22:52  fuscated

svn9336:  - ui: Translate a string in the LibFinder plugin
	  (thanks gd_on)

2013-09-17 22:52  fuscated

svn9335:  - ui: Translate some string in the FileManager plugin
	  (thanks gd_on)

2013-09-17 22:52  fuscated

svn9334:  - ui: Translate some string in the ProjectManagerUI
	  class (thanks gd_on)

2013-09-16 22:24  fuscated

svn9333:  - ui: Remove the shortcut for hiding the editor tabs,
	  because it causes too much complaints in the forum

2013-09-16 20:18  fuscated

svn9332:  * debugger: Refresh watches, when locals or func args
	  are added during debugging sessoin

2013-09-16 20:18  fuscated

svn9331:  * debugger: Fix a crash when the locals or func args
	  are disabled during debugging session

2013-09-15 23:10  jenslody

svn9330:  * Profiler-plugin: avoid assert with wx2.9+ because the
	  parent of the wxBusyinfo is not the toplevel window

2013-09-15 23:10  jenslody

svn9329:  * RegExTestbed-plugin: fix assert and crash in RegExDlg
	  with wx2.9+

2013-09-15 23:10  jenslody

svn9328:  * RegExTestbed-plugin: fix name of Dialog in project-
	  and wxSmith-files and in the autogenerated code to make them
	  fully usable with wxSmith again

2013-09-15 23:10  jenslody

svn9327:  * wxSmith wxAUI-plugin: fix assert in
	  wxsAuiManagerParentQP with wx2.9+

2013-09-15 23:10  jenslody

svn9326:  * wxSmith-plugin: fix assert in wxsFontEditorDlg with
	  wx2.9+

2013-09-15 09:28  jenslody

svn9325:  * wxSmith- and wxSmithContribItems-plugin: correct
	  handling of composite-flags in codegeneration of wxsRichTextCtrl,
	  wxsRichTextFormattingDialog, wxsRichTextStyleOrganiserDialog and
	  wxsChart

2013-09-15 09:28  jenslody

svn9324:  * wxPropgrid: correct handling of composite flags in
	  wxFlagsProperty; backport of my patch for wxWidgets, see:
	  http://trac.wxwidgets.org/changeset/74808

2013-09-15 09:28  jenslody

svn9323:  wxSmith-plugin: fix assert with wx2.9+, due to adding
	  font twice to resources propgrid; fix incorrect code-generation
	  to set font-attributes

2013-09-15 09:28  jenslody

svn9322:  * wxSmith-plugin: fix crash in PGRead of
	  wxsColourProperty with wx2.9+

2013-09-13 14:08  jenslody

svn9321:  * wxSmith-plugin: fix incorrect way of fetching
	  PGChoices in PGWrite with wx2.9+

2013-09-13 14:08  jenslody

svn9320:  - minor code layout-fix

2013-09-13 14:08  jenslody

svn9319:  * wxSmith-plugin: fix asserts in wx2.9+ due to
	  incorrect format-strings

2013-09-13 14:07  jenslody

svn9318:  * wxSmith-plugin: fix crash of wxsImageTreeEditorDlg,
	  when opening colour-dialog

2013-09-13 14:07  jenslody

svn9317:  * wxSmith-plugin: fix layout issue; only the top-sizer
	  with the title of wxsImageTreeEditorDlg was shown

2013-09-13 07:42  ollydbg

svn9316:  - SDK: No need to include <prep.h> because it was
	  already include from sdk_precomp.h

2013-09-11 21:08  jenslody

svn9315:  * wxSmith-plugin: fix possible crash-candidates with
	  >=wx2.9

2013-09-11 21:07  jenslody

svn9314:  * fix incorrect conditional in configure.ac

2013-09-11 20:44  fuscated

svn9313:  - manager: Don't translate the name of all cbEVT_XXX
	  types (thanks gd_on)

2013-09-11 13:15  ollydbg

svn9312:  - CC: build fix for the previous commit.

2013-09-11 09:35  ollydbg

svn9311:  * SDK: introduce CodeBlocksThreadEvent which is thread
	  safe to carry wxString cross threads, also remove the mutex in
	  CClogger class, as we don't need them. see the discussion:
	  http://forums.codeblocks.org/index.php/topic,18059.0.html

2013-09-11 09:34  ollydbg

svn9310:  * SDK: event processing performance hook for
	  CodeBlocksEvent and wxScintilla, it will log out messages if one
	  event handler executes for a long time. This feature is currently
	  disabled. If you want to enable them, you should set on the
	  #define macros definition in both header files.

2013-09-10 21:53  jenslody

svn9309:  * wxSmith-plugin: add missing comma in created
	  constructor of wxDirPickerCtrl

2013-09-10 21:53  jenslody

svn9308:  * wxSmith-plugin (wxsimagelisteditordlg): avoid asserts
	  and crashes with wx2.9, if the list is initally or partly empty

2013-09-10 21:53  jenslody

svn9307:  * wxSmith-plugin (wxsimagelisteditordlg): expand image
	  labels, so larger numbers get not cut off; add button to save a
	  single bitmap instead of only sving the list as one large bitmap;
	  many differences come from autogeneration of the source files
	  with actual wxSmith

2013-09-10 21:53  jenslody

svn9306:  * wxSmith-plugin (wxsimagelisteditordlg): add
	  XPM-header to loaded bitmaps to avoid asserts on wx2.9

2013-09-10 21:53  jenslody

svn9305:  * wxSmith-plugin: avoid assert when opening wxs-file
	  with wx2.9 on linux

2013-09-10 21:53  jenslody

svn9304:  * wxSmith-plugin: avoid asserts and possible crash with
	  wx2.9 in wxsbitmapiconeditordlg, because of trying to recieve the
	  size of a wxNullBitmap

2013-09-10 21:52  jenslody

svn9303:  * wxSmith-plugin: immediately show changed size (after
	  adding first image) and image count in wxsimagelisteditordkg,
	  disable del-, clear- and save-buttons if list is empty

2013-09-10 21:52  jenslody

svn9302:  * wxSmith-plugin: fix assert and possible crash in
	  wxsbitmapiconeditordlg, due to incorrect setting of growable col
	  in flexgridsizer

2013-09-10 21:52  jenslody

svn9301:  * wxSmith-plugin: fix crash with wx2.9

2013-09-10 21:52  jenslody

svn9300:  * wxSmith-plugin: fix typo in variable name

2013-09-10 08:30  fuscated

svn9299:  * debugger: Improve GetEditorWordAtCaret to work
	  correctly for non-rectangle selections (thanks Huki)
	  
	  The old code makes a rectangle from the start of the selection to
	  the end of
	  it. And it the selection is multiline and non-rectangular this
	  code won't
	  return the proper string when the mouse pointer is outside the
	  rectangle,
	  but still over the selected text. The new version uses one of
	  Scintilla
	  functions to do it simpler and properly.

2013-09-08 09:44  jenslody

svn9298:  * added debug-personality to allproject-files;
	  "--profile=debug" was changed to "-p debug" to make search and
	  replace more easy

2013-09-08 09:43  jenslody

svn9297:  * find-replace-dialog: fix shortcut (was used twice)

2013-09-04 18:51  fuscated

svn9296:  revert r9249 "* force use of gcc 4.4 if spec-file is
	  used on CentOS/RedHat < 6..."
	  
	  The requirement for GCC 4.4 has been removed in the previous
	  commit

2013-09-04 18:50  fuscated

svn9295:  * mozilla_encoding_detection: Remove the check for GCC
	  4.4, because it is not needed

2013-09-03 23:02  fuscated

svn9294:  - sdk_ui: Make it possible to copy/paste the script
	  errors in the GenericMultiLineNotesDlg dialog

2013-09-03 23:02  fuscated

svn9293:  * sdk_no_ui: Apperantly the ProjectManager.RebuildTree
	  is used in some scripts (File->New->File->C++ Header)

2013-09-03 21:27  fuscated

svn9292:  * debugger: Fix wx29 warning that the Enable method is
	  called for invalid menu item

2013-09-03 21:27  fuscated

svn9291:  * debugger: Fix some crashes, when all debugger plugins
	  have been unloaded

2013-09-03 21:27  fuscated

svn9290:  - debugger: Fix warning introduced in r9288

2013-09-03 21:27  fuscated

svn9289:  - debugger: Remove some dead-code (these function
	  doesn't seem to be called at all)

2013-09-02 20:39  fuscated

svn9288:  - debugger: clarify a bit the error messages printed,
	  when searching for the debugger configuration for the current
	  target

2013-09-01 22:00  jenslody

svn9287:  * non-pch build fix

2013-09-01 21:19  jenslody

svn9286:  * wxSmith-plugin: fix crash with wx2.9 when
	  toolbareditor is closed

2013-09-01 21:19  jenslody

svn9285:  * wxSmith-plugin: fix wx2.9 asserts

2013-09-01 21:19  jenslody

svn9284:  * debugger: wx2.9 fix

2013-09-01 17:31  fuscated

svn9283:  * debugger: increase the SDK version because the
	  API/ABI has changed

2013-09-01 17:31  fuscated

svn9282:  * debugger: allow the user to control which watches
	  should be updated automatically and which shouldn't
	  
	  1. Add a new flag in the cbWatch class and respect the flag in
	  both drivers
	  during watch updating.
	  2. Add a new method in the cbDebuggerPlugin class that allows
	  C::B to ask
	  a plugin to update a single watch.
	  3. Add two new popup menu items in the watches window -> one to
	  switch the
	  flag for a particular watch and one to update a particular watch
	  manually.

2013-09-01 17:31  fuscated

svn9281:  * debugger: fix HaveWatch method of the DebuggerGDB
	  plugin to work return true for locals and argument watcehs

2013-09-01 17:30  fuscated

svn9280:  * debugger: fix delete all command to skip properly
	  special watches, because they are controlled by the debugger
	  plugins

2013-09-01 17:30  fuscated

svn9279:  * debugger: disable rename and any other commands
	  (delete, properties, add data breakpoint) for locals/args watches

2013-09-01 17:30  fuscated

svn9278:  * debugger: make locals/args read only (users won't be
	  able to change their values)

2013-09-01 17:30  fuscated

svn9277:  * debugger: optimize updating watches, when they are
	  not in the current context (don't call the output command if the
	  whatis fails)

2013-09-01 17:30  fuscated

svn9276:  * debugger: handle changing settings for
	  locals/arguments
	  
	  1. Add API to remove a watch from the WatchesDlg programatically.
	  2. Detect settings changes and remove the locals/args watches if
	  needed.

2013-09-01 17:30  fuscated

svn9275:  * debugger: initial re-implementation of locals and
	  function arguments
	  
	  1. Add new kind of watches that are not user controlled/created,
	  but are
	  controlled by the plugin (lots more polish is needed for this
	  feature).
	  2. Modify the plugin to create two special watches one for locals
	  and one
	  for arguments. Modify drivers accordingly (CDB does nothing).
	  3. Implement new command that parses locals or arguments and
	  fills the
	  special watches. Add code for parsing the format.
	  4. Add tests for the parser code.
	  5. Enable the options for locals and arguments in the settings.

2013-08-31 23:08  jenslody

svn9274:  * wxSmith: fix #19087; manually changed variable name
	  of toolbaritem was reset to default if the toolbaritem-editor was
	  used

2013-08-31 20:34  fuscated

svn9273:  * debugger: Fix parsing watches containing chars equal
	  to '{' or '}'

2013-08-29 19:31  killerbot

svn9272:  * add compiler warning : -Wnon-virtual-dtor (Warn if a
	  class has virtual functions but no virtual destructor)

2013-08-18 05:51  mortenmacfly

svn9271:  * pumped underlying squirrel scripting lib from v2.2.4
	  to v2.2.5 (stable)
	  - documented all C::B squirrel patched thoroughly

2013-08-18 05:22  mortenmacfly

svn9270:  - wxContribItems: sync original sources with their
	  respective SVN repo
	  - wxContribItems: mark stuff modified for C::B with a comment

2013-08-17 21:48  jenslody

svn9269:  * wx2.9 fix for wxContribItems: in wx2.9 DECLARE_CLASS
	  and DECLARE_DYNAMIC_CLASS are different (in wx2.8 they are the
	  same); IMPLEMENT_DYNAMIC_CLASS can only be used with
	  DECLARE_DYNAMIC_CLASS; thanks stahta01 for posting this issue

2013-08-17 21:48  jenslody

svn9268:  * wx2.9 on linux: add missing files to wxLED

2013-08-17 21:48  jenslody

svn9267:  * autotools: move AM_CONDITIONAL out of if-clause;
	  AN_CONDITIONAL must always be reached or the configure script
	  stops with an error

2013-08-17 14:07  jenslody

svn9266:  - add autoconf macro to determin gcc-version; needed to
	  add additional "-Wno-unused-local-typedefs" in autotools, when
	  using gcc >= 4.8 and wx2.8 to avoid tons of warnings

2013-08-17 14:07  jenslody

svn9265:  - whitespace (consistency) changes: spaces instead of
	  tabs

2013-08-17 09:35  mortenmacfly

svn9264:  - license clarification and SVN keywords for core
	  plugins

2013-08-17 09:20  mortenmacfly

svn9263:  - svn properties update (MIME type, EOL style, keyword
	  substitution)

2013-08-17 08:54  mortenmacfly

svn9262:  - fixed "extra token at include directive" warning

2013-08-17 07:47  jenslody

svn9261:  - fix wrong debug-message

2013-08-17 07:38  mortenmacfly

svn9260:  - white space / tab (no functional change)

2013-08-17 07:38  mortenmacfly

svn9259:  - some project files fixes for occurrences highlighting
	  - occurrences highlighting: issue an error in the debug log if
	  XRC could not be loaded
	  - occurrences highlighting: code clean-up and white-space / tab
	  consistency

2013-08-16 17:44  jenslody

svn9258:  * OccurrencesHighlighting-plugin: non-pch build fixes

2013-08-16 17:43  jenslody

svn9257:  * OccurrencesHighlighting-plugin: more linux build
	  fixes

2013-08-16 15:13  jenslody

svn9256:  - add OccurrencesHighlighting-plugin to debian files;
	  you probably need to run "sudo dpkg-reconfigure codeblocks" after
	  installation to get it installed

2013-08-16 15:12  jenslody

svn9255:  - add OccurrencesHighlighting-plugin to spec-file

2013-08-16 15:01  jenslody

svn9254:  * OccurrencesHighlighter-plugin: autotools build fix

2013-08-16 11:36  d_anselmi

svn9253:  * Move occurrence highlighting from cbEditor into a
	  plugin. (refer here:
	  http://forums.codeblocks.org/index.php/topic,18207.0.html)

2013-08-16 05:28  mortenmacfly

svn9252:  * applied patch by LETARTARE:
	  http://forums.codeblocks.org/index.php/topic,18250.msg124828.html#msg124828

2013-08-09 04:35  jenslody

svn9251:  * fix update_revision.sh to keep the revision number
	  found in revision.m4 if we are not inside version controlled
	  source-tree

2013-08-08 22:18  jenslody

svn9250:  * codeblocs.spec.in: fix needed after previous commit

2013-08-08 21:27  jenslody

svn9249:  * force use of gcc 4.4 if spec-file is used on
	  CentOS/RedHat < 6; they use gcc 4.1 as default and this does not
	  work with actual mozilla encoding detection anymore

2013-08-08 21:27  jenslody

svn9248:  * sync windows and linux projectfiles; make sdk.h part
	  of target sdk on all platforms

2013-08-08 21:27  jenslody

svn9247:  * add Compiler.h from mozilla-chardet to projectfiles

2013-08-06 19:38  jenslody

svn9246:  * set MinSize for text-control in
	  debuggeroptionsdialog, to fix a layout-bug in ubuntu (see:
	  http://forums.codeblocks.org/index.php/topic,18214.msg124531.html#msg124531);
	  MinSize has to be set manually to work; setting in xrc-file does
	  not work correctly and will be overridden when touched by wxSmith
	  anyway

2013-08-06 19:38  jenslody

svn9245:  * fix layout of debugger-settings dialog: Panels did
	  not always fit into the dialog without manually resizing it.
	  
	  Signed-off-by: Jens Lody <jens@codeblocks.org>

2013-08-05 18:56  fuscated

svn9244:  * debugger: Fix a bug where the plugin doesn't show the
	  cursor when a breakpoint is hit
	  
	  > Detect the case where we've parsed the gdb prompt, but the
	  program state
	  is not marked as stopped yet. If this case is hit, we queue a
	  command
	  that will find the frame information and will update the cursor.
	  > This fix should fix many similar bugs, like stepping into and
	  the step
	  command returns an unknown error, setting cursor position to an
	  unavailable/unreachable position, etc.
	  > For the current case see this topic:
	  http://forums.codeblocks.org/index.php/topic,18103.0.html

2013-08-03 22:03  jenslody

svn9243:  * several make dist fixes for the autotools system

2013-08-03 15:24  mortenmacfly

svn9242:  * removed obsolete python scripts from scintilla source
	  tree

2013-08-03 15:20  mortenmacfly

svn9241:  * harmonised mozilla chardet with mozilla sources

2013-08-03 15:19  mortenmacfly

svn9240:  * update of various wxSmith contrib elements, namely:
	  wxchart, wxtrhings, wxstc

2013-07-29 22:56  jenslody

svn9239:  * wx2.9: build-fix

2013-07-29 22:56  jenslody

svn9238:  * wx2.9(linux): add -ansi to HexEditor and SpellChecker
	  projectfile

2013-07-29 17:07  jenslody

svn9237:  - fix incorrect dates in spec-file

2013-07-29 07:55  fuscated

svn9236:  - wx29: Fix assert if the backtrace dialog is empty and
	  we try to make visible the element at index 0

2013-07-29 07:55  fuscated

svn9235:  - debugger: Fix bug introduced in r9108, the condition
	  have been swapped.

2013-07-29 07:55  fuscated

svn9234:  - wx29: Fix type specifiers for wxString's Printf and
	  Format calls

2013-07-29 07:55  fuscated

svn9233:  - wx29: Fix debugger test project to pass the -ansi
	  option to the compiler

2013-07-27 19:07  alpha0010

svn9232:  * apply patch 3488: ClassWizard: add option to auto set
	  include dir (modified)

2013-07-25 18:58  fuscated

svn9231:  * debugger: Fix a crash when removing at least 3
	  targets one after another from the project

2013-07-25 07:49  jenslody

svn9230:  autotools: replace deprecated INCLUDES with
	  AM_CPPFLAGS; note: per target *_CPPFLAGS override AM_CPPFLAGS, so
	  we must be careful here.

2013-07-25 07:48  jenslody

svn9229:  autotools: rename deprecated configure.in to
	  configure.ac

2013-07-24 21:13  jenslody

svn9228:  autotools: build-fix needed due to new scintilla
	  revision

2013-07-24 19:22  fuscated

svn9227:  * autotools: Fix "make dist" which is broken after the
	  last commit (if the *.py files have been removed by accident feel
	  free to revert this commit)

2013-07-24 05:11  mortenmacfly

svn9226:  * pumped (wx)scintilla to v3.3.4

2013-07-22 21:11  alpha0010

svn9225:  * wizard: fix console project for Clang

2013-07-22 03:12  ollydbg

svn9224:  * TO-DO plugin: add the new scope of active target
	  files.

2013-07-22 02:54  ollydbg

svn9223:  - CC: remove unused variable, add many comments and
	  TODOs.

2013-07-22 02:52  ollydbg

svn9222:  - CC: code refactoring, and add comments about priority
	  headers.

2013-07-21 23:42  alpha0010

svn9221:  * CC: fix show tooltip in non-active function

2013-07-21 23:10  fuscated

svn9220:  - Sync all wx29 project to be the same as the wx28
	  versions

2013-07-21 20:27  fuscated

svn9219:  - debugger: Fix compilation with wx2.9

2013-07-21 20:27  fuscated

svn9218:  - Fix the unix project file for wx2.9

2013-07-21 20:27  fuscated

svn9217:  * debugger: Don't queue empty command if the initial
	  command string is empty

2013-07-21 20:27  fuscated

svn9216:  - debugger: Setup the debugger target for running
	  codeblocks in the unix project

2013-07-21 16:23  alpha0010

svn9215:  * scintilla: delete obsolete code

2013-07-20 22:58  jenslody

svn9214:  * FindReplaceDialog: avoid possible infinite loop when
	  search is limited to StartFile; see:
	  http://forums.codeblocks.org/index.php/topic,18044.msg124255.html#msg124255

2013-07-17 19:30  fuscated

svn9213:  - remove unused file (related to some of the prev
	  commits)

2013-07-15 20:25  fuscated

svn9212:  - build: Fix autotools project (related to r9209)

2013-07-15 20:25  fuscated

svn9211:  * compiler: Don't recompile the regexes for every line
	  produced by the compilers

2013-07-15 18:17  alpha0010

svn9210:  * incremental select dlg: increase default size

2013-07-15 06:26  ollydbg

svn9209:  * remove cbthreadpool_extras.h file, as it was not used
	  any longer after rev9207

2013-07-15 06:09  ollydbg

svn9208:  -src: use sdk.h instead of sdk_precomp.h in the src
	  target.

2013-07-15 06:08  ollydbg

svn9207:  * remove preprocessor check for GCC 3.4

2013-07-13 17:36  jenslody

svn9206:  * add missing builtin-member bin to global variables, a
	  textcontrol for it was shown in dialog, but the content was not
	  saved; thanks scarphin for reporting

2013-07-11 05:59  killerbot

svn9205:  - remove warning, unused variable

2013-07-08 23:07  fuscated

svn9204:  * sdk: Change the SDK verions to mark that the SDK has
	  changed in a non-compatible way

2013-07-08 23:07  fuscated

svn9203:  * sdk: Move the Find/Replace code form the
	  EditorManager to its own class in src

2013-07-08 23:07  fuscated

svn9202:  * sdk: Move ProjectOptionsDlg from libcodeblocks to the
	  main application

2013-07-08 23:07  fuscated

svn9201:  * sdk: Move the ProjectManager::***IconIndex functions
	  to its own class. Extract the code for creating the image list
	  for the tree, because it is duplicated at least in two places

2013-07-08 23:06  fuscated

svn9200:  - sdk: Remove to unimplemented functions

2013-07-08 23:06  fuscated

svn9199:  - sdk: Remove CheckForExternallyModifiedProjects() from
	  the cbProjectManagerUI

2013-07-08 23:06  fuscated

svn9198:  - sdk: build fixes on windows related to the prev
	  commits

2013-07-08 23:06  fuscated

svn9197:  * sdk: Move cbProject::ShowOptions to ProjectManagerUI
	  (remove a script binding)

2013-07-08 23:06  fuscated

svn9196:  - sdk: Add some fixmes

2013-07-08 23:06  fuscated

svn9195:  * sdk: Move cbProject::RenameInTree to the
	  ProjectOptionsDlg, because it is used only there

2013-07-08 23:06  fuscated

svn9194:  * sdk: Move lots of code related to the projects tree
	  from cbProject to ProjectManagerUI

2013-07-08 23:06  fuscated

svn9193:  * sdk: Move some code from
	  ProejctManager::CloseWorkspace in ProjectManagerUI

2013-07-08 23:06  fuscated

svn9192:  - sdk: Add two fixme comments in cbproject

2013-07-08 23:06  fuscated

svn9191:  * sdk: Reimplement one FixMe in the ProjectManagerUI
	  class

2013-07-08 23:06  fuscated

svn9190:  - contrib: Fixed all contrib plugins to compile with
	  the changes to the SDK

2013-07-08 23:05  fuscated

svn9189:  - sdk: Remove some useless functions in EditorManager

2013-07-08 23:05  fuscated

svn9188:  * sdk: Move CheckForExternallyModifiedProjects from
	  ProjectManager to ProjectManagerUI, remove more includes

2013-07-08 23:05  fuscated

svn9187:  * sdk: Move more code from ProjectManager to
	  ProjectManagerUI, fix noPCH build

2013-07-08 23:05  fuscated

svn9186:  * sdk: Add ProjectManagerUI to the autotools build
	  system

2013-07-08 23:05  fuscated

svn9185:  - sort includes

2013-07-08 23:05  fuscated

svn9184:  * sdk: Extract the QueryClose functions to the
	  ProjectManagerUI class

2013-07-08 23:05  fuscated

svn9183:  * sdk: Extract MoveProjectUp/Down from ProjectManager
	  to ProjectManagerUI

2013-07-08 23:05  fuscated

svn9182:  * sdk: Continue the removal of UI from ProjectManager
	  
	  Add ShowFileInTree method in the ProjectManagerUI class, which is
	  used to
	  show a file in the try by expanding it. This new method has the
	  added
	  bonus of removing code duplication :)

2013-07-08 23:05  fuscated

svn9181:  * sdk: Start the extraction of the UI related code from
	  the ProjectManager class
	  
	  This is done by creating an abstract class with will handle all
	  UI related
	  calls made from the ProjectManager. By default a Null
	  implementation is used.
	  Almost all UI code is extracted in an implementation of the
	  abstract
	  interface placed in src.

2013-07-08 22:00  fuscated

svn9180:  * debugger: Fix crashes, when opening the debug menu
	  after the debugger plugin has been disabled and then re-enabled.
	  
	  > Happens because the debugger windows are destroyed on plugin
	  unload, but
	  aren't recreated on plugin load.
	  > Reported here:
	  http://forums.codeblocks.org/index.php/topic,18113.msg123998.html#msg123998

2013-07-08 22:00  fuscated

svn9179:  * debugger: Fix all gtk-critials and a possible crash
	  on Ubuntu 13.04

2013-07-08 15:56  alpha0010

svn9178:  * compiler: apply patch 3474, support IAR ARM compiler
	  (modified)

2013-07-08 04:15  alpha0010

svn9177:  * CC: fix doc-popup open declaration

2013-07-06 22:35  jenslody

svn9176:  * more fixes for broken/incompatible/whatever libtools
	  on some linux distros (CentOS 5, Gentoo?); make install is broken
	  in some cases, because libtool generates a commandline, that uses
	  systemwide installed libcodeblocks.so instead of the newly
	  generated one. This can fail if the API has changed. Changing the
	  order of the libs in the Makefile.am's should fix this issue.

2013-07-05 21:18  fuscated

svn9175:  - improve the update_revision.sh script to work better
	  with git
	  
	  * Disable the 'git svn info' path, because it fails badly with
	  git 1.8.x.
	  * Rework the 'git log' path to work better - it finds the last
	  svn commit
	  in the graph and extracts the revision.
	  * Rework the 'git log' path to get the date of the last commit in
	  a format
	  similar to the way svn gets it (YYYY-MM-DD hh-mm-ss).

2013-07-04 22:05  fuscated

svn9174:  * ThreadSeach: Change the options icon in the toolbar
	  and in the panel, when an option is selected

2013-07-03 20:14  fuscated

svn9173:  - sdk: Remove a redundant path separator in the
	  cbWorkspace c-tor

2013-07-03 20:14  fuscated

svn9172:  * sdk: Fix folder getters in ConfigManger to use
	  GetFolder instead of returning the class members directly;
	  
	  * It turns out that any getter could be called before the
	  InitPaths method,
	  which result in pretty broken C::B

2013-07-02 16:40  jenslody

svn9171:  * Fix an issue descrinbed here:
	  http://forums.codeblocks.org/index.php/topic,18044.msg123529.html#msg123529

2013-07-01 21:57  alpha0010

svn9170:  * perspective: conditionally remember not to save,
	  partial fix for bug 18970

2013-06-30 13:58  fuscated

svn9169:  * debugger: Fix parsing structs containing bool or
	  global enum members

2013-06-30 13:58  fuscated

svn9168:  - debugger_test: Fix compilation with GCC 4.7.2 on
	  Linux

2013-06-30 13:58  fuscated

svn9167:  - compiler: setup the compiler target to be able to run
	  codeblocks on unix;

2013-06-30 13:58  fuscated

svn9166:  * ThreadSearch: Fix View->FocusThreadSearch to work
	  correctly even if the info panel is floating
	  
	  * The problem is that when the info panel is floating
	  FocusThreadSearch
	  selects the text in the text control, but the keyboard focus is
	  still in
	  the editor, there fore pressing enter or typing any characters,
	  changes
	  the text in the editor not in the text control.
	  * Possibly this is a linux only problem.
	  * The fix was suggested by wxWidget's devs.

2013-06-30 13:58  fuscated

svn9165:  - ThreadSearch: Change default setting (hide the
	  preview and disable the delete before search option)

2013-06-25 22:53  d_anselmi

svn9164:  - SpellChecker: don't directly derive from
	  HookFunctorBase anymore.

2013-06-25 19:27  mortenmacfly

svn9163:  * wxSmithAUI: compile fix on Windows: -ansi is not
	  applicable for Windows target, otherwise compilation fails deeply
	  in wx/buffer.h

2013-06-21 06:12  jenslody

svn9162:  * autotools: fix missing include directory after commit
	  9160; the current filder has to be compiled before the plugin
	  subfolder !

2013-06-18 19:54  jenslody

svn9161:  * add -ansi flag to all remaining linux/unix
	  project-files

2013-06-18 13:12  biplab

svn9160:  * Added: Few missing files to make dist rules.

2013-06-18 05:37  jenslody

svn9159:  * autotools: fix make dist issue on (at least) CentOS6;
	  for some strange reasons it only occurs with ssources checked
	  ouut with svn, but not with git svn

2013-06-16 16:03  mortenmacfly

svn9158:  * fix proper use and initialisation of common controls
	  on Windows

2013-06-15 10:51  biplab

svn9157:  * Modified: Compiler id to gcc in 64 bit project file.

2013-06-13 14:35  biplab

svn9156:  * Applied: [Patch #3476] and [Patch #3477] avoid a
	  warning in source_exporter plugin generation.

2013-06-13 13:59  biplab

svn9155:  * Added: -ansi flag to wx29-unix project file.

2013-06-12 21:08  fuscated

svn9154:  * Add -ansi to the main cbp on Unix

2013-06-12 21:08  fuscated

svn9153:  - fix warning related to the addition of the -ansi
	  compiler flag

2013-06-12 21:08  fuscated

svn9152:  * editor: Fix bug in the Edit->Select skip next
	  occurrence command
	  (http://forums.codeblocks.org/index.php/topic,18011.msg123127.html#msg123127)
	  
	  - The problem have been caused by the strange behaviour of method
	  wxScintilla::ClearSelections. This method leave one empty
	  selection,
	  which happens to be at the first character of the file. Now I
	  detect this
	  case and make this first selection none-empty.
	  - I've taken the chance to fix some other small issues in this
	  code and
	  make it more robust. Now the order of the selection is the same
	  in all
	  selections, the highlight occurrence settings should be
	  preserved, etc.

2013-06-12 14:32  biplab

svn9151:  * Fixed: Build issue due to collision of platform enum
	  with system-specific predefined macros. Reverted api change done
	  in revision 9141.

2013-06-12 13:58  biplab

svn9150:  * Changed: C++ comments in C files. C++ comment in C
	  file breaks build in -ansi mode.

2013-06-11 20:19  fuscated

svn9149:  * find/replace: Fix bug when replacing and the first
	  string to be replaced is already selected
	  
	  See here for details:
	  http://forums.codeblocks.org/index.php/topic,18005.0.html

2013-06-11 20:18  fuscated

svn9148:  - codesnippets: Change the project to start a debug
	  personality

2013-06-11 15:34  biplab

svn9147:  * Fixed: [Bug #18647] Many typos in wxsmith source code

2013-06-10 09:53  ollydbg

svn9146:  - CC: add missing space after comma

2013-06-08 22:18  jenslody

svn9145:  * linux: build fix for older systems, like
	  RedHat/CentOS 5

2013-06-08 19:20  jenslody

svn9144:  * linux (automake): do not create static libs, we only
	  use the shared libs and the static ones increase the installed
	  size by about 20 MB

2013-06-08 12:15  fuscated

svn9143:  * project_tree: Add menu items for controlling if a
	  file should be compiled/linked

2013-06-08 03:36  biplab

svn9142:  * Adjusted: Unix project files to avoid conflict with
	  system specific preprocessor macros.

2013-06-07 17:33  biplab

svn9141:  * Fixed: Build issue due to collision with
	  system-specific predefined macros.

2013-06-05 14:55  biplab

svn9140:  * Reverted: Previous commit. It slipped through.

2013-06-05 14:49  biplab

svn9139:  * Fixed: [Bug #19014] cannot convert wxStringCharType*
	  to wxChar*

2013-06-03 19:30  mortenmacfly

svn9138:  * added compatibility layer for Windows Vista, 7, and 8
	  to manifest files (Windows only)

2013-06-03 19:23  mortenmacfly

svn9137:  * updated Windows resource/manifest files for 64bit
	  * added file revision resource to resource file on Windows

2013-06-03 16:18  biplab

svn9136:  * Fixed: Incorrect initialization of libtool.

2013-06-03 15:07  ollydbg

svn9135:  * CC: fix two bugs: 1, static variable definition in a
	  header file cause different instances in different translation
	  units; 2, wrong Connect() function usage. See our discussion in:
	  http://forums.codeblocks.org/index.php/topic,17982.0.html

2013-06-03 10:08  ollydbg

svn9134:  - CC: add comments for HeaderDirTraverser class.

2013-06-03 09:35  ollydbg

svn9133:  - remove unused local typedef

2013-06-02 22:53  jenslody

svn9132:  * make automake-system (hopefully) work with older
	  libtools; on some linux distros (CentOS 5, Gentoo) make install
	  is broken in some cases, because libtool generates a commandline,
	  that uses systemwide installed libcodeblocks.so instead of the
	  newly generated one. This can fail if the API has changed.
	  Changing the order of the libs in the Makefile.am's should fix
	  this issue.

2013-06-02 09:58  mortenmacfly

svn9131:  * pumped (wx)Scintilla to v3.3.3 to address some fixes
	  related to GTK

2013-06-02 08:51  mortenmacfly

svn9130:  * allow wildcards when selecting files that shall
	  belong to a target (project options dialog -> build target "All/?
	  on/off")
	  - this is very useful, when re-organising files across targets
	  within a project

2013-06-01 16:15  mortenmacfly

svn9129:  * cb_share_config: added ability to export complete
	  compiler settings (section)
	  * cb_share_config: added ability to export complete debugger
	  settings (section) and/or individual debugger sets

2013-06-01 10:50  biplab

svn9128:  * Applied: [Patch #3473] Remove invalid font entries in
	  some resources(Debug assert)

2013-06-01 10:35  biplab

svn9127:  * Applied: [Patch #3471] check the flags exist before
	  removing (Debug assertion)

2013-06-01 06:41  biplab

svn9126:  * Added: Whitespace.

2013-05-31 17:14  jenslody

svn9125:  * Revert last commit, because logging is still broken
	  in wx2.9 unicode (at least in 64bit)

2013-05-31 14:20  biplab

svn9124:  * Reverted: Rev 8259 as it may not be needed anymore.
	  However if original bug persists then revert this commit.

2013-05-30 15:21  biplab

svn9123:  * Fixed: Lock-up at start-up with wx-2.9.

2013-05-28 01:56  alpha0010

svn9122:  * annoyingDlg: use saved return value whenever possible

2013-05-27 18:29  alpha0010

svn9121:  * apply patch 3463: add macro TARGET_PARAMETERS

2013-05-26 04:09  biplab

svn9120:  * Applied: [Patch #3462] Autoversioning:option to use
	  #define instead of static const

2013-05-26 03:33  biplab

svn9119:  * Use STL as TiXmlString.

2013-05-25 19:51  alpha0010

svn9118:  - apply patch 3466: make lexer XML files conformant

2013-05-25 16:30  biplab

svn9117:  * Added: More files to make dist.

2013-05-25 14:40  biplab

svn9116:  * Applied: [Patch #3002] Fix Bug #15359

2013-05-24 16:46  jenslody

svn9115:  * build fix for wx 2.9(.5)

2013-05-23 14:11  alpha0010

svn9114:  * annoyingDlg: fix return type conversion

2013-05-23 07:00  mortenmacfly

svn9113:  * added update64 batch file for batch 64 bit build

2013-05-23 06:57  mortenmacfly

svn9112:  - fix wrong message for 64 bit batch build

2013-05-22 13:47  alpha0010

svn9111:  - build system: only copy changed compiler XML files
	  (Windows)

2013-05-21 11:48  mortenmacfly

svn9110:  * fixed batch build for 64 bit on Windows taking into
	  account the wrong project file

2013-05-21 02:51  mortenmacfly

svn9109:  * fixed 64 bit build (PCH)

2013-05-20 22:21  alpha0010

svn9108:  * AnnoyingDlg: add infrastructure to remember the
	  user's choice

2013-05-20 16:13  biplab

svn9107:  * Fixed: Configure.in file inline with rev 9105.

2013-05-20 16:04  biplab

svn9106:  * Fixed: Broken build due to rev 9105.

2013-05-19 21:04  alpha0010

svn9105:  * apply patch 3458: update SFML project wizard
	  (modified)

2013-05-19 07:07  biplab

svn9104:  * Fixed: Minimum wx version required to build is
	  wx-2.8.12. wxIntPtr was introduced in wx-2.8.12.

2013-05-19 06:10  biplab

svn9103:  * Added: wxSmithSTC to make dist.

2013-05-19 06:04  biplab

svn9102:  * Added: wxSmithIExplore to make dist.

2013-05-17 14:15  alpha0010

svn9101:  - lexer: follow up to Scintilla update

2013-05-16 16:22  jenslody

svn9099:  * make including the external fortran-project in
	  dist-tarball optional (nabled by default); git-svn does not
	  handle external svn-trees

2013-05-16 16:22  jenslody

svn9098:  * HexEditor-plugin: fix Makefile.am, so it can be used
	  from extra build-folders again, needed afte commit 9089

2013-05-16 15:18  mortenmacfly

svn9097:  * pumped (wx)Scintilla to v3.3.2

2013-05-14 14:25  biplab

svn9096:  * Fixed: [Bug #18904] Shortcut key problems with search
	  dialog

2013-05-14 13:21  biplab

svn9095:  * Fixed: UI inconsistencies Find/Replace Dialog.

2013-05-14 03:28  ollydbg

svn9094:  * CC: do not remove the first character if it is not
	  the beginning of a function body, this fix a bug that a class
	  declaration like "class XXX" was always parsed as "lass XXX", see
	  bug report and discussion in
	  http://forums.codeblocks.org/index.php/topic,17756.0.html

2013-05-14 03:16  ollydbg

svn9093:  * Add wxsSmithPlot.cbp to CodeBlocks.workspace, so we
	  can build wxSmith controls for wxMathPlot library by default.

2013-05-13 05:21  thomas-denk

svn9092:  - Fixed incorrect compiler error message (see
	  http://forums.codeblocks.org/index.php/topic,17908)

2013-05-13 02:06  alpha0010

svn9091:  * SpellChecker: fix hang in BuildModuleMenu() introduce
	  by rev. 8993

2013-05-12 23:29  alpha0010

svn9090:  - SpellChecker: remove build warning

2013-05-12 23:13  biplab

svn9089:  * Added: New project files to make dist.

2013-05-12 18:07  mortenmacfly

svn9088:  - update of workspaces [4]: final update to Windows
	  workspace files

2013-05-12 15:59  mortenmacfly

svn9087:  - EditorConfig: removed invalid include directory

2013-05-12 15:56  mortenmacfly

svn9086:  - update of workspaces [3]: added missing unix wx29
	  project file for SmartIndent

2013-05-12 15:44  mortenmacfly

svn9085:  - update of workspaces [2]: update existing and add
	  missing project files, use the same order for all workspaces

2013-05-12 15:09  mortenmacfly

svn9084:  - update of workspaces [1]: common naming scheme for
	  plugin project files (WIP)

2013-05-12 13:29  mortenmacfly

svn9083:  * wx29 compatibility fix

2013-05-12 13:20  biplab

svn9082:  * Added: New files to make dist.

2013-05-12 13:10  mortenmacfly

svn9081:  - updated project files due to wxScintilla update

2013-05-12 12:38  jenslody

svn9080:  * remove use of wxWindowUpdateLocker (convenience-class
	  for Freeze() and Thaw()) from TextCtrlLogger, because it clears
	  selection and moves the control to top position; see:
	  http://forums.codeblocks.org/index.php/topic,17905.msg122470.html#msg122470

2013-05-12 12:37  biplab

svn9079:  * Added: FortranProject to make dist.

2013-05-12 12:16  mortenmacfly

svn9078:  * SDK: major update to core component wxScintilla:
	  Pumped underlying scintilla to v3.3.1

2013-05-11 14:52  fuscated

svn9077:  * debugger: Don't crash if the toolbar is not created
	  and OnUpdateUI is called (patch 3457)

2013-05-11 08:41  biplab

svn9076:  * Fixed: Broken make dist due to rev 9075.

2013-05-11 08:31  biplab

svn9075:  * Added: wxSmithPlot to make dist.

2013-05-11 07:20  biplab

svn9074:  * Added: wxSmithDemo to make dist.

2013-05-11 07:12  biplab

svn9073:  * Added: wxSmithDateTimePicker to make dist.

2013-05-11 04:59  biplab

svn9072:  * SVN property change.

2013-05-11 04:15  biplab

svn9071:  * SVN property change.

2013-05-11 02:49  biplab

svn9070:  * Minimum wxWidgets version upped to wxWidgets-2.8.10.

2013-05-10 22:13  alpha0010

svn9069:  - compiler: add -Wall flag to Clang interface

2013-05-10 14:21  biplab

svn9068:  * Automake fixes for OpenCV wizard.

2013-05-09 13:32  biplab

svn9067:  * Fixed: [Bug #17195] Search all files for regex "^"
	  freezes IDE and [Bug #18990]

2013-05-09 12:14  biplab

svn9066:  * Reverted: Rev 9061 to pre rev 9038 as -
	  1) Original code was NOT hard to debug.
	  2) Rev 9038 was against the code style used by others.

2013-05-09 06:40  fuscated

svn9065:  - noPCH build fix

2013-05-09 02:31  ollydbg

svn9064:  * scripted wizard plugin: add OpenCV(Open Computer
	  vision library) project wizard for mingw and linux.

2013-05-09 02:22  ollydbg

svn9063:  * SDK: add a new built-in field named "bin" in the
	  global compiler variable setting dialog. See discussions in
	  http://forums.codeblocks.org/index.php/topic,17868.0.html

2013-05-09 01:05  alpha0010

svn9062:  * EditorTweaks: ignore incorrect menu events spawned by
	  submenu->Check() in UpdateUI()

2013-05-08 19:01  fuscated

svn9061:  - revert rev9056: Such code is hard to debug and is
	  against the code style used by others

2013-05-08 19:01  fuscated

svn9060:  * add two more terminal presets: xfce_terminal and
	  terminology

2013-05-08 14:21  alpha0010

svn9059:  - apply patch 3417: disable definition of nullptr for
	  Clang C++11 mode

2013-05-06 16:10  d_anselmi

svn9058:  * ToDo-plugin: fix bug in parser
	  * add optional date to todo (who#prio#date)

2013-05-05 17:53  jenslody

svn9057:  * mozilla-chardet: fix number of
	  SingleByteCharSet-probers, to avoid array overrun (we use up to
	  16 probers)

2013-05-05 14:02  biplab

svn9056:  * Make code more compact. Follow-up of rev 9038

2013-05-05 13:54  biplab

svn9055:  * More Whitespaces: Follow-up of 9048.

2013-05-04 18:26  mortenmacfly

svn9054:  * updated project files concerning wrong/missing files
	  * added 64 bit project file and batch build for 64 bit
	  to use, do *BEFORE* (!!!) you open the 64 bit project file:
	  - make a copy of the GCC compiler
	  - name it exactly "GNU GCC 64 compiler"
	  - let it point to e.g. the base folder of the TDM GCC 64 bit
	  release

2013-05-04 17:31  biplab

svn9053:  * Fixed: Build error with gcc-4.8.0

2013-05-04 16:46  alpha0010

svn9052:  * CC: do not duplicate documentation (patch 3455,
	  modified)

2013-05-04 12:22  alpha0010

svn9051:  - compiler: parse generic switch from defines box

2013-05-04 05:20  mortenmacfly

svn9050:  * complete removal of cbIntPtr, in favour of wxIntPtr
	  (was a relict of old <wx2.8.10 times)

2013-05-04 05:01  mortenmacfly

svn9049:  * with recent wx2.8.12 and wx2.9.4 thee is no need
	  anymore for cbIntPtr, even on 64 bit -> use wx native type
	  instead

2013-05-04 04:46  mortenmacfly

svn9048:  - whitespace

2013-05-04 04:45  mortenmacfly

svn9047:  * compilation fix for debugger (cdb) for 32 bit

2013-05-04 04:45  mortenmacfly

svn9046:  * compilation fox for code snippets app

2013-05-04 04:44  mortenmacfly

svn9045:  * update of astyle to v2.04

2013-05-04 04:40  mortenmacfly

svn9044:  - type corrections for wx2.9+ to avoid compiler
	  warnings

2013-05-04 04:39  mortenmacfly

svn9043:  - some refinements to CC (formatting and types)

2013-05-04 04:38  mortenmacfly

svn9042:  * update of Hunspell API

2013-05-04 04:20  mortenmacfly

svn9041:  * 64 bit updates for misc. plugins

2013-05-04 04:19  mortenmacfly

svn9040:  * 64bit updates for core

2013-05-04 04:12  mortenmacfly

svn9039:  * implement GetCheckListboxChecked and other bindings
	  for wizards

2013-05-04 04:12  mortenmacfly

svn9038:  * 64 bit updates for debugger commands and driver

2013-05-04 04:07  mortenmacfly

svn9037:  * 64 bit update for scripting (make consequent use of
	  types as defined, add missing wrapper functions)

2013-05-04 03:44  biplab

svn9036:  * Win64 Port: Build fixes for cdb_commands.h

2013-05-04 03:07  biplab

svn9035:  * Win64 Port: Corrected patch for cdb_commands.h

2013-05-04 03:02  biplab

svn9034:  * Win64 port: Patches for Contrib Plugins.

2013-05-04 02:56  biplab

svn9033:  * Win64 port: Patches for Core Plugins.

2013-05-04 02:52  biplab

svn9032:  * Win64 port: Patches for Core.

2013-05-04 02:45  biplab

svn9031:  * Win64 port: Patches for SDK.

2013-05-04 02:35  biplab

svn9030:  * Win64 port: Patches for SDK.

2013-05-02 15:16  alpha0010

svn9029:  * compiler: add annoying dialog for conflict between
	  Flags and Other Options

2013-05-02 15:03  alpha0010

svn9028:  - CC: align checkbox in settings

2013-05-02 14:39  alpha0010

svn9027:  * SpellChecker: remove possible invalid dictionary
	  selection

2013-05-01 17:53  jenslody

svn9026:  * profiler-plugin; autotools build-fix

2013-05-01 14:58  jenslody

svn9025:  * wxSmithAui: add missing initialisation for
	  member-variables, fix possible crash-candidate, due to
	  unitialised bool-variable

2013-05-01 14:57  jenslody

svn9024:  * wxSmithContribItems: add missing initialisation for
	  member-variables, fix possible crash-candidate, due to
	  unitialised bool-variable

2013-05-01 14:57  jenslody

svn9023:  * wxSmith-plugin: (hopefully) fix crash when adding
	  wxBitmapButton, due to unitialised bool-variable; see:
	  http://forums.codeblocks.org/index.php/topic,16104.0.html for
	  bug-report

2013-05-01 05:06  ollydbg

svn9022:  * SRC: Add mouse right click context menu to toggle the
	  toolbar view status in the MainFrame, see related discussions in
	  http://forums.codeblocks.org/index.php/topic,17808.msg121848.html#msg121848

2013-05-01 03:48  biplab

svn9021:  * Win64 port: Patches for wxSmith.

2013-05-01 03:41  biplab

svn9020:  * Fixed: Possible runtime assert

2013-05-01 03:39  biplab

svn9019:  * Fixed: Possible runtime assert

2013-05-01 03:39  biplab

svn9018:  * Fixed: Possible runtime assert

2013-05-01 03:37  biplab

svn9017:  * Fixed: Possible runtime assert

2013-05-01 03:35  biplab

svn9016:  * Fixed: Possible runtime assert

2013-05-01 03:33  biplab

svn9015:  * Fixed: Possible runtime assert

2013-05-01 03:28  biplab

svn9014:  * Removed: Zero byte files.

2013-05-01 03:19  biplab

svn9013:  * Fixed: Possible runtime assert

2013-05-01 03:17  biplab

svn9012:  * Fixed: Possible runtime assert

2013-04-29 19:32  alpha0010

svn9011:  * compiler: fix Open Watcom link bug

2013-04-29 14:16  alpha0010

svn9010:  * sdk: add path style conversion macros

2013-04-28 16:30  d_anselmi

svn9009:  - wxSmithAui: removed bug with Tool bar handled
	  additionally as DefaultPane

2013-04-28 09:33  mortenmacfly

svn9008:  - updated SVN ignore patterns

2013-04-28 09:31  mortenmacfly

svn9007:  - fixed project file for source_exporter plugin

2013-04-27 15:56  alpha0010

svn9006:  * compiler: fix duplicate switch in include top level
	  dir to search dirs

2013-04-26 14:23  alpha0010

svn9005:  - pluginMgr: supply native style paths

2013-04-25 13:50  alpha0010

svn9004:  * configMgr: supply native style paths

2013-04-23 21:20  alpha0010

svn9003:  * Apply patch 3440: CC recognize function call inside
	  parentheses

2013-04-22 20:02  alpha0010

svn9002:  - plugin dlg: revert unintentional change from rev 9001

2013-04-22 14:22  alpha0010

svn9001:  - plugin dlg: disconnect events

2013-04-19 14:06  alpha0010

svn9000:  * compiler: support PCH files with extensions other
	  than .h (by stahta01, modified)

2013-04-19 01:42  ollydbg

svn8999:  - CC: add virtual keyword for the virtual function in
	  derived class. It is not necessary, but adding this keyword
	  improves readability.

2013-04-19 01:35  ollydbg

svn8998:  - SRC: fix a memory leak for m_debuggerToolbarHandler.

2013-04-18 14:48  alpha0010

svn8997:  * lexer: restrict wxSmith generated code highlighting

2013-04-18 08:35  fuscated

svn8996:  - remove unused function

2013-04-18 08:35  fuscated

svn8995:  - debugger: Fix a memory leak

2013-04-18 08:35  fuscated

svn8994:  - compiler: Print the path to the output file
	  (executable/library)

2013-04-17 14:11  d_anselmi

svn8993:  * SpellChecker-plugin: fix bug / add feature mentioned
	  here: http://forums.codeblocks.org/index.php/topic,17805.0.html

2013-04-16 14:34  alpha0010

svn8992:  * applied patch 3449: do not mix operation during
	  toggle comment (modified)

2013-04-14 23:03  fuscated

svn8991:  - CC: Style fix for the Documentation popup classes
	  (rename method Options to GetOption to prevent name clashing)

2013-04-14 23:03  fuscated

svn8990:  - CC: Hide the implementation of the
	  UnfocusablePopupWindow, a forward declaration is enough;

2013-04-14 23:02  fuscated

svn8989:  * CC: Move the settings for the documentation popup
	  colours to the ColourManager

2013-04-14 23:02  fuscated

svn8988:  * CC: Fix the UI for the setting for "Pseudo semantic
	  highlight" feature

2013-04-14 23:02  fuscated

svn8987:  * debugger: Make "Evaluate expression under cursor" to
	  work again for class members, when pseudo semantic highlight is
	  enabled

2013-04-14 18:02  alpha0010

svn8986:  * Applied patch 3448: display only filenames in plugins
	  list (modified)

2013-04-14 07:35  ollydbg

svn8985:  * SRC: remove the hack of de-selection icons code, so
	  the icons status was consistent with native behavior. See
	  discussions in:
	  http://forums.codeblocks.org/index.php/topic,17767.

2013-04-13 17:08  alpha0010

svn8984:  * CC: nested namespace (by p2rkw, modified); local
	  using namespace

2013-04-13 15:40  biplab

svn8983:  * Fixed: Nasty runtime assert under wx-2.9

2013-04-11 17:29  jenslody

svn8982:  * wxContribItems: add header-files for xrc-handler of
	  wxLed to automake-system

2013-04-10 22:08  fuscated

svn8981:  * editor_colours: Add better detection for default
	  option - not all languages have option named "Default" (eg.
	  HTML/PHP)

2013-04-10 22:07  fuscated

svn8980:  - editor_colours: Add some paragraphs to make the code
	  a bit more readable

2013-04-09 22:54  fuscated

svn8979:  * ThreadSearch: Show error message, when the directory
	  being searched in doesn't exists or cannot be opened

2013-04-09 22:54  fuscated

svn8978:  - ThreadSearch: Show errors as InfoWindows

2013-04-09 22:54  fuscated

svn8977:  - ThreadSearch: Fix a crash if an odd number of strings
	  is passed in the array

2013-04-09 22:53  fuscated

svn8976:  * ThreadSearch: Fix annoying bug - the directory or the
	  mask is reset to the prev value if enter is pressed in the search
	  box

2013-04-09 13:08  ollydbg

svn8975:  -SDK: Correct the code indent.

2013-04-08 15:00  biplab

svn8974:  * Reverted: Portion of commit #8964.

2013-04-08 14:58  biplab

svn8973:  * Reverted: Portion of commit #8914.

2013-04-07 19:32  alpha0010

svn8972:  * CC: simple resolution of enumerator assigned
	  enumerator

2013-04-07 19:28  alpha0010

svn8971:  - Windows PCH fix

2013-04-07 14:40  fuscated

svn8970:  - lexers: Add selection/active line to the makefile
	  lexer

2013-04-07 13:10  fuscated

svn8969:  * editor_settings: Add UI to allow the use to copy
	  matching colours from other languages

2013-04-07 13:10  fuscated

svn8968:  - editor_settings: Sort includes and remove duplicates

2013-04-07 13:10  fuscated

svn8967:  * editor_settings: Add UI to allow the user to copy
	  easily colours from other languages

2013-04-07 10:59  jenslody

svn8966:  * build-fix: add missing files to automake-files

2013-04-07 10:59  jenslody

svn8965:  * linux build-fix: add missing files to linux projects

2013-04-07 10:50  biplab

svn8964:  * applied: [Patch #3303] Enhance the provided batch
	  build scripts (for wx2.9 batch files)

2013-04-07 10:40  jenslody

svn8963:  * fix incorrect spelling of filenames; on some
	  platforms the filenames are case-sensitive !

2013-04-07 10:37  biplab

svn8962:  * Fixed: Bug where update_revision.sh fails when code
	  is committed to branch.
	  * Modified: Try git svn first. Use git as fallback.

2013-04-07 08:08  fuscated

svn8961:  * sdk: Move the code for the editor configuration from
	  the sdk to src target/folder

2013-04-06 23:04  alpha0010

svn8960:  - Editor: set detect indent style off by default

2013-04-06 20:53  mortenmacfly

svn8959:  * fixed a ToDo

2013-04-06 20:52  mortenmacfly

svn8958:  - simplify code, based on a patch by obfuscated

2013-04-06 20:52  mortenmacfly

svn8957:  - remove spaces, correct strange indenting (NO
	  functional change)

2013-04-06 20:46  mortenmacfly

svn8956:  * enhance C++ keywords for std::vector, std::iostream
	  and alike

2013-04-06 20:44  mortenmacfly

svn8955:  - avoid conflicting namespace usage

2013-04-06 20:43  mortenmacfly

svn8954:  - remove some compiler warnings

2013-04-06 20:43  mortenmacfly

svn8953:  - remove some compiler warnings

2013-04-06 20:42  mortenmacfly

svn8952:  * updated wxSmithLED (based on patch of ZinnJonas),
	  using r8950
	  * (forgotten to mention at r8950: updated wxThings in
	  wxContribItems to enhance wx2.9.x compatibility)

2013-04-06 20:40  mortenmacfly

svn8951:  - same naming scheme for all wx2.9.x plugin projects

2013-04-06 20:40  mortenmacfly

svn8950:  * updated wxContribItems: wxLED (based on patch of
	  ZinnJonas)

2013-04-06 20:36  mortenmacfly

svn8949:  * updated wxSmithSTC (the STC part of it)

2013-04-06 17:15  alpha0010

svn8948:  - reduce pedantic warnings

2013-04-06 17:08  alpha0010

svn8947:  - CC: remove unused functions

2013-04-06 17:06  alpha0010

svn8946:  * Editor: auto detect indent style

2013-04-06 09:28  biplab

svn8945:  * Fixed: Runtime asserts under wx-2.9

2013-04-06 09:20  jenslody

svn8944:  * fix possible crash in parserthread.cpp due to not
	  initialized pointer, that makes nullpointer check fail

2013-04-06 05:11  biplab

svn8943:  * Added: SVN properties to new files.

2013-04-05 22:23  alpha0010

svn8942:  - CC: proper doxygen line comment style

2013-04-05 17:18  alpha0010

svn8941:  * CC: show enumerator assignment in documentation popup

2013-04-05 16:07  alpha0010

svn8940:  * CC: parse enumerator assignments

2013-04-04 19:16  fuscated

svn8939:  - lexers: Support autotools Makefiles.am/in by default

2013-04-03 22:52  alpha0010

svn8938:  * CC: disable semantic keywords by default

2013-04-03 21:11  fuscated

svn8937:  * recent files: Add the new files to all the projects

2013-04-03 21:03  fuscated

svn8936:  * recent files: Autotools and noPCH build fixes

2013-04-03 21:03  fuscated

svn8935:  * recent files: Fix two synchronization bugs (recent
	  files != start here page)

2013-04-03 21:02  fuscated

svn8934:  * recent file: Simplify the interface and hide the menu
	  parameters

2013-04-03 21:02  fuscated

svn8933:  * recent files: Further refactoring

2013-04-03 21:02  fuscated

svn8932:  * startherepage: fix crash when clearing the history of
	  recent files/projects
	  
	  Refactor the code by extracting it in a class and removing code
	  duplication.
	  All the code should use the new class. Now the start here page
	  uses pointers
	  that are always valid and can't get out of sync.

2013-04-01 16:18  jenslody

svn8931:  * automake-system: let configure-script end with an
	  error, if the name of a contrib-plugin is misspelled. see also:
	  http://forums.codeblocks.org/index.php/topic,17731.msg121373.html#msg121373

2013-03-31 20:06  fuscated

svn8930:  * debugger: Highlight active debug line the same as the
	  active line, where cursor is (applied modified patch #3280)

2013-03-30 18:32  alpha0010

svn8929:  * CC: add option controlling semantic keywords

2013-03-30 12:58  fuscated

svn8928:  * macromanager: Fix infinite loop if $REMOVE_QUOTES
	  macro fails to find quoted argument

2013-03-30 09:42  jenslody

svn8927:  - fix url of svn-repository in debian
	  get-orig.source-from-svn script

2013-03-29 21:04  jenslody

svn8926:  * build-fix on some linux distros: replace
	  $(PLUGIN_WX_LIBS) with $(WX_LIBS) in Makefiles, because all
	  plugins that use wxWidgets need to link against the libs since we
	  use no-undefined linker options. For some obscure reasons the
	  build was not broken on all distros. See also:
	  http://forums.codeblocks.org/index.php/topic,17724.msg121281.html#msg121281

2013-03-29 19:33  jenslody

svn8925:  filemanager.cpp: linux build-fix

2013-03-29 19:24  alpha0010

svn8924:  * CC: handle documentation on multi line declarations
	  (by p2rkw)

2013-03-29 18:26  thomas-denk

svn8923:  * Attempt to address the problem in topic
	  forums.codeblocks.org/index.php/topic,17544.htm
	  * Rewrote both overloads of FileManager::Save
	  a) shorter, more readable, less complexity, no delayed deletes
	  and extra paths
	  b) atomic replace-temporary instead of weird
	  copy-overwrite-delete stuff
	  c) hopefully correct, but at least not as obviously broken as the
	  present version
	  - Renamed the (const wxString&, const char*) overload and made it
	  private to be sure it is not accidentially used wrongly. Added
	  friend declaration for TinyXML::SaveDocument.
	  - FileManager::WriteWxStringToFile now only returns success for
	  actual size == requested size, instead for any nonzero result

2013-03-29 01:16  alpha0010

svn8922:  * SpellChecker: add disabled indicator; default lang:
	  English

2013-03-28 20:53  alpha0010

svn8921:  - CCTest: highlight STL containers in editor

2013-03-28 20:48  alpha0010

svn8920:  * CC: provide (some) semantic highlighting

2013-03-28 20:31  alpha0010

svn8919:  * CC: use timer delay to show documentation; prevents
	  scroll stuttering

2013-03-28 00:09  fuscated

svn8918:  * cbcolourmanager: Add a colour override for the text
	  colour of the non-source files in the project tree

2013-03-24 04:46  biplab

svn8917:  * Updated: updateChangeLog.sh script to point to sf.net
	  repo.

2013-03-24 04:18  biplab

svn8916:  * Fixed: Update revision correctly when git svn is not
	  installed.

2013-03-23 15:52  pecanh

svn8915:  DrqagScroll - comment line to test commits at
	  SourceForge

2013-03-20 13:59  biplab

svn8914:  * Applied: [Patch #3303] Enhance the provided batch
	  build scripts. Patch for wx29 will follow.

2013-03-20 04:54  jenslody

svn8913:  - sync AUTHORS file with about-box

2013-03-18 20:41  alpha0010

svn8912:  - compiler: correct macro names (see bug 18919)

2013-03-18 14:05  ollydbg

svn8911:  -CC: tweak comments.

2013-03-18 13:29  jenslody

svn8910:  - switched debian copyright file to 2013

2013-03-09 23:33  jenslody

svn8909:  * linux: wx2.9 build fixes

2013-03-09 22:44  jenslody

svn8908:  * linux: add fontconfig development packages to debian-
	  and rpm-based build requirements

2013-03-09 22:44  jenslody

svn8907:  CodeCompletion-plugin: reduce build-warnings

2013-03-09 22:43  jenslody

svn8906:  KodersQuery-plugin: remove dependency on non existant
	  static codeblocks library, to avoid build warnings

2013-03-09 22:43  jenslody

svn8905:  SourceExporter-plugin (linux): directly link with
	  fontconfig to avoid build-error with --no-undefined option

2013-03-09 22:43  jenslody

svn8904:  MouseSap-plugin (linux): directly link with needed libs
	  to avoid build-error with --no-undefined option

2013-03-09 22:43  jenslody

svn8903:  * linux: add --no-undefined linker option to autotools
	  and project-files

2013-03-09 17:55  jenslody

svn8902:  * autotools build-fix, needed after last commit

2013-03-09 14:23  mortenmacfly

svn8901:  * applied (modified) patch #3381: CC, autocomplete:
	  added argument's names and types to list

2013-03-05 23:34  tpetrov

svn8900:  * Valgrind: Modify it to support checking
	  dynamic/static lib targets
	  * Valgrind: Made it to modify the PATH/LD_LIBRARY_PATH in order
	  to allow the executable to find its libraries

2013-03-05 23:34  tpetrov

svn8899:  * sdk: extract functions from the compiler used to
	  manipulate/generated PATH/LD_LIBRARY_PATH strings

2013-03-02 20:03  tpetrov

svn8898:  * colour_manager: recreate editor styles, after the
	  environment settings dialog is closed

2013-03-01 22:50  jenslody

svn8897:  keybinder-plugin: fix typo in debug-message

2013-03-01 22:27  tpetrov

svn8896:  * colour_manager: Make reseting a particular colour to
	  the default value to be persistent in the config

2013-03-01 22:27  tpetrov

svn8895:  - start_page: Use the correct system colour, the old
	  setting was plain wrong

2013-03-01 22:22  jenslody

svn8894:  * cctest: fix project-file for wx2.9

2013-03-01 22:22  jenslody

svn8893:  * environmentsettingsdialog: wx2.9 build-fix

2013-03-01 22:22  jenslody

svn8892:  * encoding detection: format-fixes (avoid assertion
	  with wx2.9)

2013-03-01 22:22  jenslody

svn8891:  * SourceExporter-plugin: wx2.9.5 build-fixes

2013-03-01 11:51  jenslody

svn8890:  * startherepage: make some icons partly transparent, to
	  loook better with dark backgrounds

2013-03-01 03:04  ollydbg

svn8889:  *CC: commit patch #3408 to fix bug #18559 (CC doesn't
	  work on function arguments), thanks p2rkw. See some discussion in
	  http://forums.codeblocks.org/index.php/topic,16024.msg108174.html#msg108174.

2013-02-28 05:55  mortenmacfly

svn8888:  - reduce compiler warnings

2013-02-28 05:54  mortenmacfly

svn8887:  * cbdstyledtextctrl: scripting support

2013-02-28 05:53  mortenmacfly

svn8886:  * pumped (wx)Scintilla to v3.2.5

2013-02-27 22:45  tpetrov

svn8885:  * cb_share_config: support transferring separate themes
	  for the editor

2013-02-27 22:45  tpetrov

svn8884:  * cb_share_config: support transferring the new colours
	  settings

2013-02-27 22:45  tpetrov

svn8883:  - cb_share_config: simplify/optimize the code a bit by
	  removing all the calls to MakeLower

2013-02-27 21:57  tpetrov

svn8882:  * editor: Add command which can be used to skip the
	  current selection and go to the next

2013-02-27 21:57  tpetrov

svn8881:  * editor: Don't put indicators over selected text, when
	  select next occurrence command is used

2013-02-27 21:57  tpetrov

svn8880:  - editor: Simplify code (replace m_pOwner->m_pControl
	  and m_pOwner->GetControl with control)

2013-02-27 21:57  tpetrov

svn8879:  * editor: Add command to select the next occurrence of
	  the currently selected text, then allow multiple editing

2013-02-27 21:56  tpetrov

svn8878:  * editor: Extract the function MakeNearbyLinesVisible
	  in the cbStyledTextCtrl

2013-02-27 02:18  tpetrov

svn8877:  - start_page: refresh the page, when the env settings
	  dialog is close, just in case if the colours manager have been
	  modified

2013-02-27 02:18  tpetrov

svn8876:  * start_page: don't reset the scrolling of the windows
	  when an recent file entry is deleted

2013-02-27 02:18  tpetrov

svn8875:  * start_page: make it to be more dark-theme friendly,
	  by allowing the user to changes some of the colours using the
	  colour manager

2013-02-27 02:18  tpetrov

svn8874:  - start_page: PCH fixes;

2013-02-27 02:18  tpetrov

svn8873:  - start_page: move some code from main.cpp to the
	  StartHerePage class

2013-02-26 23:50  tpetrov

svn8872:  - fix both autotools system and the no-pch build

2013-02-26 23:50  tpetrov

svn8871:  * add more debugging colours to the colour manager

2013-02-26 23:49  tpetrov

svn8870:  * rework the loggers to use the colour manager for
	  their colour settings

2013-02-26 23:49  tpetrov

svn8869:  - save the colour manager after all plugin settings for
	  the environment have been saved

2013-02-26 23:49  tpetrov

svn8868:  * rework the editor to use the colour manager for none
	  syntax highlight colour

2013-02-26 23:49  tpetrov

svn8867:  - rename "Default" to "All" in the category choice
	  control

2013-02-26 23:49  tpetrov

svn8866:  - don't show unused/old colour entries in the UI

2013-02-26 23:49  tpetrov

svn8865:  * load the colours manager, when the ide is being
	  created

2013-02-26 23:49  tpetrov

svn8864:  * settings: add UI for modifying the colours in the
	  colour manager

2013-02-26 23:49  tpetrov

svn8863:  * sdk: use the colour manager for the choosing the
	  colours of the active frame in the backtrace window

2013-02-26 23:49  tpetrov

svn8862:  * sdk: add colour manager class, which will be used to
	  manage all colours in the IDE

2013-02-26 10:39  jenslody

svn8861:  * IncrementalSearch-plugin: change used indicators to
	  avoid conflict with s_indicHighlight in cbstyledtextctrl.cpp

2013-02-26 07:08  mortenmacfly

svn8860:  * CC: dynamically connect / disconnect events to avoid
	  a RARE race conditions

2013-02-26 07:07  mortenmacfly

svn8859:  - CC: code simplification due to return statements in
	  if-clauses

2013-02-26 07:06  mortenmacfly

svn8858:  * CC: avoid crashes in case project got invalid
	  (catched a VERY rare crash at old line 138, for-loop)

2013-02-26 05:04  jenslody

svn8857:  * SpellChecker-plugin: fix an issue with using tab in a
	  zero-width rectangular selection, see:
	  http://forums.codeblocks.org/index.php/topic,17513.msg120062.html#msg120062
	  (example 2) for details

2013-02-26 01:32  ollydbg

svn8856:  * CC: fix a segmentation fault in nativeparser.cpp -
	  http://forums.codeblocks.org/index.php/topic,17506.msg120018.html#msg120018,
	  do not use the erased iterator.

2013-02-25 05:00  ollydbg

svn8855:  * exchndl: link libz instread of libintl, thus
	  implement the Feature Request #5517. Add checking winnt.h macros
	  for both MinGW and MinGW-W64. Workaround a #error in bfd.h.

2013-02-22 23:52  tpetrov

svn8854:  * compiler: Fix a bug introduced in r8847 - rebuilding
	  Codeblocks-unix.cbp failed

2013-02-22 08:19  tpetrov

svn8853:  - compiler: avoid exception if command type has no
	  tools (by Alpha)

2013-02-19 23:45  tpetrov

svn8852:  * ScriptedWizard: resolve incorrect static library
	  output path (by LETARTARE and Alpha)

2013-02-18 09:03  ollydbg

svn8851:  *CC: In the Parser::Parse() function, when handling
	  include directive of the priority header files, do not add a
	  single PTVector instance for a single include file, instead, add
	  the whole parsing tasks in the last element of the m_PoolTask,
	  this simplify the logic and accelerator the parsing time.
	  -CC: remove an unused member variable m_LocalFiles in the Parser
	  Class.
	  -CC: add more comments in those changed files, and tweak the
	  TRACE message.

2013-02-18 04:58  ollydbg

svn8850:  -CC: Fix a copy/paste error in TRACE message

2013-02-18 03:05  ollydbg

svn8849:  * Fix bug 18892: Line Up/Down splits CR LF (by Alpha)

2013-02-16 18:27  tpetrov

svn8848:  - compiler: fix previous commit '&' should be escaped
	  in xml to '&amp;'

2013-02-16 17:00  tpetrov

svn8847:  * compiler: make the gcc compiler be a bit more robust,
	  when making static libraries (by Alpha)
	  
	  This is done by deleting the archive before executing the ar
	  command.

2013-02-16 17:00  tpetrov

svn8846:  * compiler: fix loading multi-command tools (by Alpha)

2013-02-16 17:00  tpetrov

svn8845:  * DoxyBlocks: support projects located in path with
	  spaces or other strange characters

2013-02-16 09:20  ollydbg

svn8844:  *CC: Checking a valid builtin editor by using
	  Manager::Get()->GetEditorManager()->GetBuiltinActiveEditor()
	  instead of comparing the global g_StartHereTitle wxString.
	  -CC: Tweak some comments.

2013-02-16 06:01  ollydbg

svn8843:  *CC: CodeCompetion::OnRelease(): remove chained event
	  handler
	  -CC: Add many comments
	  -CC: Tweak Log messages and TRACEs

2013-02-16 05:12  ollydbg

svn8842:  -CC: Remove the wxCHECK_VERSION(2, 9, 0) preprocessor
	  directive
	  -CC: tweak comments for RemoveLastFunctionChildren() function

2013-02-16 04:00  ollydbg

svn8841:  -CC: return from the function (Parser::OnBatchTimer) as
	  earlier as possible
	  -CC: tweak TRACE messages

2013-02-16 03:48  ollydbg

svn8840:  -CC: document the function ProcessParserEvent()

2013-02-16 03:37  ollydbg

svn8839:  -CC: add a tooltip for checkbox "Disable
	  code-completion". Note: this option does NOT disable the
	  codecompletion plugin.

2013-02-16 03:09  ollydbg

svn8838:  - add "--profile=debug" for the target "src". (missing
	  in the previous commit)

2013-02-16 02:56  ollydbg

svn8837:  * Personality/profile configuration change for the cbp
	  files (Windows build system only). It was using the
	  "default.conf", but now it use a separated "debug.conf" to avoid
	  configuration conflicts when debug C::B under C::B.

2013-02-07 06:29  killerbot

svn8836:  * cbTreeCtrl: fix navigation to previous item via up
	  arrow key (by Alpha)

2013-02-04 05:32  ollydbg

svn8835:  - CC: tweak comments

2013-02-03 15:20  tpetrov

svn8834:  * editor: Don't put highlight indicator for the string
	  selected by the user

2013-02-03 15:20  tpetrov

svn8833:  * settings: Add buttons to set the fore/back colours to
	  default in the settings for the syntax highlight

2013-02-02 02:54  biplab

svn8832:  * Applied: [Patch #3414] Bugfix of #018848 for
	  plugins\contrib\DoxyBlocks

2013-02-02 02:51  biplab

svn8831:  * Applied: [Patch #3413] Fix of bug #018848 for code
	  completion plugin

2013-02-02 01:35  tpetrov

svn8830:  * editor: don't use per function statics, because they
	  might cause problems, instead use object members;

2013-02-02 01:35  tpetrov

svn8829:  * editor: make the minimum required selected text
	  length for highlight occurrences to trigger to be configurable
	  (based on patch #3130)

2013-01-31 23:30  tpetrov

svn8828:  * compiler: print better message for separation between
	  the build and the run logs in the build log pane;

2013-01-31 23:30  tpetrov

svn8827:  - optimize pch build

2013-01-31 23:30  tpetrov

svn8826:  * CC: support completing C++98 style enums and add
	  proper parsing for C++11's strong enums (thanks p2rkw);

2013-01-31 23:30  tpetrov

svn8825:  * try to make visible all toolbars, when a toolbar is
	  shown/hidden and add menu option to fit and optimize the
	  toolbars;

2013-01-31 23:29  tpetrov

svn8824:  * replace most of the wxComboBox with wxChoice controls
	  in the settings

2013-01-31 23:29  tpetrov

svn8823:  * replace the wxComboBox with wxChoice in the Project
	  Dependencies dialog (wxChoice is more user friendly on linux)

2013-01-28 21:18  tpetrov

svn8822:  * compiler: Don't switch to the "Build messages", if
	  the active log window is not "Build log"

2013-01-28 21:18  tpetrov

svn8821:  * compiler: Don't clear logs before run (modified patch
	  by p2krw);

2013-01-27 22:52  tpetrov

svn8820:  * unify the context menu shown for the workspace and
	  when the user clicks in the whitespace;

2013-01-27 22:52  tpetrov

svn8819:  * compiler: Always add the menu items in the
	  project/workspace context menu;

2013-01-26 18:35  tpetrov

svn8818:  - ThreadSearch: Link with -Wl,--no-undefined option

2013-01-26 18:35  tpetrov

svn8817:  - ThreadSearch: Fix all available project files
	  (related to prev commits);

2013-01-26 18:35  tpetrov

svn8816:  * ThreadSearch: Proper usage of wxNewId, the old code
	  has some static initialization order issues

2013-01-26 10:35  tpetrov

svn8815:  - ThreadSearch: Use wxNewId instead of enum for the
	  control ids;

2013-01-24 08:37  jenslody

svn8814:  * debian buildsystem: remove unneeded space from
	  plugins-list in codeblocks-contrib.config

2013-01-23 21:42  jenslody

svn8813:  * debian buildsystem: make sure question to aks which
	  plugins should be loaded or not is shown every time the plugin
	  list has changed

2013-01-23 08:07  tpetrov

svn8812:  * ThreadSearch: always use the visible string in the
	  dir/mask comboboxes

2013-01-22 10:38  mortenmacfly

svn8811:  - addon to last rev.: another file forgotten to commit

2013-01-22 10:36  mortenmacfly

svn8810:  - CC: ...more test cases

2013-01-22 07:24  mortenmacfly

svn8809:  - added even more C++11 test cases

2013-01-22 06:53  mortenmacfly

svn8808:  - updated CC test cases for enums

2013-01-22 06:36  mortenmacfly

svn8807:  - CC testing: renamed: structs_typedefs to
	  structs_typedefs_enums

2013-01-21 15:20  mortenmacfly

svn8806:  * editor: recognize (other) STL headers (by Alpha)

2013-01-21 15:16  mortenmacfly

svn8805:  * CC: improve lexer independent handling (by Alpha)

2013-01-20 21:43  tpetrov

svn8804:  * debugger: Allow breakpoints to work, when using C::B
	  under Wine;

2013-01-20 21:37  tpetrov

svn8803:  * ThreadSearch: Change the code to make it simpler -
	  the events in the DirectoryParams panel are not relayed in a
	  strange way anymore (this fixes a strange bug)

2013-01-20 21:36  tpetrov

svn8802:  * ThreadSearch: Add combos for the file masks and
	  search paths;

2013-01-20 21:36  tpetrov

svn8801:  - add .cbTemp files to git ignore

2013-01-18 05:21  ollydbg

svn8800:  * CC: new icon for CC's setting dialog

2013-01-18 00:18  tpetrov

svn8799:  * compiler: add fit and autofit menu items in the build
	  messages context menu;
	  * compiler: auto fit columns in the build messages;

2013-01-18 00:17  tpetrov

svn8798:  * sdk: allow logger implementations to control what
	  items are available in the context menu;

2013-01-18 00:17  tpetrov

svn8797:  - contrib: add -p debug to the cmd arguments, when
	  starting codeblocks (unix only);

2013-01-18 00:17  tpetrov

svn8796:  - build: rebuild optimization, when changing loggers.h

2013-01-18 00:17  tpetrov

svn8795:  - sdk: remove useless semi-columns;

2013-01-18 00:17  tpetrov

svn8794:  - fix possible memory leak

2013-01-18 00:17  tpetrov

svn8793:  - compiler: log the current build target/project to the
	  build messages log window;

2013-01-16 21:51  jenslody

svn8792:  * linux: make autotols-files of tricore- and avr-wizard
	  more consistent with the corresponding projectfiles

2013-01-16 19:17  mortenmacfly

svn8791:  - Mac: also install_name_tool libcodeblocks concerning
	  WX lib

2013-01-16 08:36  mortenmacfly

svn8790:  * logger: now that is not needed to be public anymore,
	  make BlendTextColour a private API inside logger.cpp

2013-01-16 00:10  tpetrov

svn8789:  * applied patch #3402: Build log: use same colors as
	  Build messages pane (modified by Alpha)

2013-01-15 05:40  mortenmacfly

svn8788:  - update script: fix sh interpreter syntax error

2013-01-14 14:18  mortenmacfly

svn8787:  * lexer: describe keyword sets (by Alpha)

2013-01-13 18:47  jenslody

svn8786:  * linux build-fix, needed after last commit

2013-01-13 12:29  mortenmacfly

svn8785:  * applied patch #3400: Scripted Wizard: MCS51: allow
	  compiler choice (modified by Alpha)

2013-01-12 16:31  mortenmacfly

svn8784:  * "wx\contrib\include" removal for all wx28 parts and
	  wizards/templates

2013-01-11 15:09  mortenmacfly

svn8783:  * Fix bug 18857: line block movement: handle multi-byte
	  characters (by Alpha)

2013-01-09 08:24  mortenmacfly

svn8782:  - group non XRCID's in one place (they may need special
	  handling for shortcut assignments) -> no functional change

2013-01-09 08:19  mortenmacfly

svn8781:  * Resolve bug 18856: do not unexpectedly include an
	  additional line during block movement (by Alpha)

2013-01-09 07:40  tpetrov

svn8780:  - style fix

2013-01-09 07:40  tpetrov

svn8779:  * sdk: make home/end keys act consistent in
	  IncrementalSelectListDlg; support numpad movement (by Alpha)

2013-01-09 07:40  tpetrov

svn8778:  * sdk: make expanding backticks to not emit ' ' at the
	  end of the string, this is needed, when expanding
	  -DVAR=\'"`cmd`"\'

2013-01-08 11:04  mortenmacfly

svn8777:  - EOL mode: fix description (by Alpha)

2013-01-08 10:58  mortenmacfly

svn8776:  * fixed build end always with red line (by Alpha)

2013-01-07 23:07  jenslody

svn8775:  * build fixes: needed for wx2.9, also correct for wx2.8

2013-01-07 23:07  jenslody

svn8774:  * Mozilla encoding detection: linux build-fixes

2013-01-07 23:07  jenslody

svn8773:  * linux build-fix needed for r8764 (wxLED) to make sure
	  our own headers are used and not the wxWidgets headers in the
	  system include folder

2013-01-07 10:47  mortenmacfly

svn8772:  * major update to Mozilla encoding detection engine
	  (might still need some adjustments to Linux build system)

2013-01-06 10:32  biplab

svn8771:  * Applied: [Patch #3396] Add support for Debian sh4
	  port

2013-01-06 10:14  mortenmacfly

svn8770:  - fixed PCH issue

2013-01-06 09:44  mortenmacfly

svn8769:  * Ctrl context menu: show file modified status (by
	  Alpha)

2013-01-06 09:44  mortenmacfly

svn8768:  * compiler: fix macro replacement bug; fix wxExecute
	  related bug; add sanity check (by Alpha)

2013-01-06 07:39  mortenmacfly

svn8767:  - headerguard: removed some warnings

2013-01-06 07:38  mortenmacfly

svn8766:  * wxSmithContribItems: updated wxsLed

2013-01-06 07:37  mortenmacfly

svn8765:  * wxSmithAui: added support fr radio button and
	  separator in wxAuiToolbar
	  - wxSmithAui: removed some warnings

2013-01-06 06:26  mortenmacfly

svn8764:  * wxSmith: update wxled control

2013-01-06 06:23  mortenmacfly

svn8763:  - added docs for kwxIC

2013-01-05 18:09  tpetrov

svn8762:  - compiler: fix build broken in rev8760;

2013-01-05 17:58  tpetrov

svn8761:  - MouseSap: init all variables in the c-tor

2013-01-05 17:58  tpetrov

svn8760:  * compiler: DirectCommands improve const correctness;

2013-01-05 17:58  tpetrov

svn8759:  - debugger: fix warning;

2013-01-05 13:29  biplab

svn8758:  * Changed whitespace to Tab in Makefile.am file.

2013-01-05 08:47  mortenmacfly

svn8757:  * applied patch #3152: compiler: support Keil C51 and
	  IAR 8051 (modified by Alpha)

2013-01-04 14:48  biplab

svn8756:  * Non-PCH build fix.

2013-01-03 21:33  mortenmacfly

svn8755:  - updated mac_pack script to fix wrong relocation of wx
	  lib

2013-01-03 15:03  mortenmacfly

svn8754:  * compiler: fixed depslib (and therefore dependency
	  calculation) does not work for file in root of a drive on Windows
	  - compiler/depslib: tiny other fixes

2013-01-03 14:58  mortenmacfly

svn8753:  * revert r8752 (does not work)

2013-01-03 07:42  mortenmacfly

svn8752:  * batch build scripts (Windows): just call update.bat
	  instead of asking for it

2013-01-03 07:38  mortenmacfly

svn8751:  - reduce warnings

2013-01-03 07:37  mortenmacfly

svn8750:  * threadsearch plugin: attempt to fix crash under MacOS

2013-01-03 07:35  mortenmacfly

svn8749:  - CC: naming consitency

2013-01-03 07:33  mortenmacfly

svn8748:  * applied patch #3398: CC: sort tree by token line

2013-01-03 07:28  mortenmacfly

svn8747:  - CC: trace timer starts (for hunting bugs)
	  - CC: remove unused variable

2013-01-02 10:14  mortenmacfly

svn8746:  - SVN properties update

2013-01-02 10:13  mortenmacfly

svn8745:  - fixed spurious strange characters in
	  codeblocks.spec.in

2013-01-02 10:12  mortenmacfly

svn8744:  * editor: option to exclude stl highlights in C (by
	  Alpha)
	  - apply correct default values in UI (XRC) for track_preprocessor
	  and collect_prj_defines

2013-01-02 09:45  mortenmacfly

svn8743:  - update/sync of Mac plist file for autotools
	  (where/how is it used, btw?!)

2013-01-02 09:44  mortenmacfly

svn8742:  - 2012 -> 2013

2013-01-02 06:41  killerbot

svn8741:  * Find file: option to open file; projects searchable
	  by filename (by Alpha)

2013-01-01 13:17  mortenmacfly

svn8740:  - addition to r8735/r8737 to be consistent

2012-12-31 19:17  tpetrov

svn8739:  * editor: ensure nearby lines are visible when jumping
	  to previous/next change or matching brace (by Alpha)

2012-12-31 19:17  tpetrov

svn8738:  * editor: make strip trailing blanks to preserve active
	  line of active editor (fix bug #18845) (by Alpha)

2012-12-31 19:17  tpetrov

svn8737:  - fix compilation (related to rev8735)

2012-12-31 15:34  mortenmacfly

svn8736:  * layout fix for the Mac: actually show the list of
	  targets in the project build setting (note: the list of tabs is
	  actually too large - maybe rename the captions to make it
	  smaller?)

2012-12-31 15:24  mortenmacfly

svn8735:  * let plugin manager look for *.dylib on the Mac - when
	  compiling C::B with C::B on the Mac, all plugins are now
	  *.dylib's.
	  - (possible enhancement: still use *.so as fall-back, not
	  implemented as not needed currently)

2012-12-31 15:22  mortenmacfly

svn8734:  * updated update script so it works on the Mac and
	  includes resources from contrib plugins (should work on Linux,
	  too)

2012-12-31 15:22  mortenmacfly

svn8733:  * added Mac resources:
	  * App description file (Info.plist)
	  * mac_pack - a script to create an App package from resources
	  compiled with C::B:
	  compile C::B using C::B, run update script, run mac_pack script,
	  zip the generated CodeBlocks.app folder - done.

2012-12-31 08:49  killerbot

svn8732:  * Find file: enable wildcard, case-insensitive, and
	  visual selection (by Alpha)

2012-12-31 02:29  tpetrov

svn8731:  * revert rev 8725 (strip trailing blanks: preserve
	  active line of active editor (fix bug #18845) (by Alpha))

2012-12-31 02:29  tpetrov

svn8730:  * CC: don't place the calltip outside of the editor
	  window (this should be modified to not place it outside the
	  monitor, instead)

2012-12-31 02:29  tpetrov

svn8729:  * CC: don't place the calltip over the cursor

2012-12-31 02:29  tpetrov

svn8728:  - remove all useless external dependencies on
	  libcodeblocks.so (unix only)

2012-12-30 21:41  killerbot

svn8727:  - warning reduction

2012-12-30 17:22  killerbot

svn8726:  - fix warnings about uninitialized variables by having
	  the constructor initialize all its members - fix project file
	  which was not using the global var correctly (the windows project
	  file was using it correctly)

2012-12-30 06:44  mortenmacfly

svn8725:  * strip trailing blanks: preserve active line of active
	  editor (fix bug #18845) (by Alpha)

2012-12-27 19:13  mortenmacfly

svn8724:  * lexer: case-insensitive sort (by Alpha)

2012-12-27 17:07  mortenmacfly

svn8723:  - further updates to contrib plugin project files to
	  work better under Mac

2012-12-27 16:15  mortenmacfly

svn8722:  - further updates to contrib plugin project files to
	  work better under Mac

2012-12-27 14:38  mortenmacfly

svn8721:  * compiler: fix duplication of commands on reset (by
	  Alpha)

2012-12-27 10:28  mortenmacfly

svn8720:  * editor: update highlighting of open files immediately
	  after define collection (by Alpha)

2012-12-27 10:28  mortenmacfly

svn8719:  * find/replace: remember last target scope (by Alpha)

2012-12-27 10:27  mortenmacfly

svn8718:  * CC: do not excessively report still-parsing tooltips
	  (by Alpha)

2012-12-26 13:45  mortenmacfly

svn8717:  * Unix project files with dynamic libs: make
	  compilation work again under Mac, too (maybe same for Linux ->
	  strange nobody noticed?!)

2012-12-26 09:59  mortenmacfly

svn8716:  - some Mac fixes to try out

2012-12-26 09:58  mortenmacfly

svn8715:  - project file update to be consistent on Unix/Mac

2012-12-25 15:14  mortenmacfly

svn8714:  * applied patch by p2rkw to expand namespaces and
	  classes
	  (http://forums.codeblocks.org/index.php/topic,16411.msg111225.html)

2012-12-25 15:14  mortenmacfly

svn8713:  * DoxyBlocks: is-dirty system for saving settings (by
	  Alpha)

2012-12-25 15:13  mortenmacfly

svn8712:  * Compiler: Clang fallback to gnu archiver if llvm-ar
	  is not found (by Alpha)

2012-12-25 15:13  mortenmacfly

svn8711:  * CC: prevent header completion box from rebuilding too
	  often (by Alpha)

2012-12-24 23:19  jenslody

svn8710:  * IncrementalSearch-plugin: no pch build fixes

2012-12-24 18:46  mortenmacfly

svn8709:  * Compiler: fix rare log duplication bug (by Alpha)
	  * Compiler: highlight command generation warnings (missing
	  dependencies, problems with detecting object files) and report to
	  build messages (by Alpha)

2012-12-24 15:52  jenslody

svn8708:  * IncrementalSearch-plugin: implement search history
	  with configurable length (default is 20, max is 200)

2012-12-24 07:11  mortenmacfly

svn8707:  * applied patch #3124: Display end-of-line mode in
	  status bar

2012-12-23 20:47  mortenmacfly

svn8706:  * do not ask for re-loading externally modified project
	  files in batch build mode

2012-12-23 20:42  mortenmacfly

svn8705:  - headerfixup: some additional checks

2012-12-23 20:32  mortenmacfly

svn8704:  - wxSmith: reducing warnings...

2012-12-23 20:30  mortenmacfly

svn8703:  * abbreviations: fixed compilation with wx29

2012-12-23 20:30  mortenmacfly

svn8702:  - added missing enum in switch case

2012-12-23 17:09  mortenmacfly

svn8701:  * fixed wx29 project files to avoid "No such file or
	  directory" warning during build: wx29 does not have a "contrib"
	  folder anymore on Windows

2012-12-21 07:35  ollydbg

svn8700:  * CC: apply Patch #3345 (Added code completion of
	  declarations in for/if/while heads)

2012-12-21 07:25  ollydbg

svn8699:  - CC: tweak comments.

2012-12-20 14:47  biplab

svn8698:  * Fixed: Win32 project wizard generated code can't be
	  built in Unicode mode (as reported at
	  http://forums.codeblocks.org/index.php/topic,17264.0.html)

2012-12-20 06:32  mortenmacfly

svn8696:  - Update .cbp's: automatic changes on save and missing
	  files (by Alpha)

2012-12-20 06:30  mortenmacfly

svn8695:  * debugger: Fixed when a workspace is loaded sometimes
	  the wrong debugger is used (by Pecan, see here:
	  http://forums.codeblocks.org/index.php/topic,17260.msg118431.html#msg118431)

2012-12-19 10:03  mortenmacfly

svn8694:  * allow re-sizing of edit keywords dialog

2012-12-19 10:03  mortenmacfly

svn8693:  * added objective-C lexer (activated for *.mm only, to
	  avoid conflicts with C/C++ and Matlab lexer)

2012-12-19 05:10  ollydbg

svn8692:  * Compiler: do not require a valid compiler to run
	  commands-only and "No Compiler" targets (extends rev. 8686) (by
	  Alpha)

2012-12-19 05:04  ollydbg

svn8691:  * Swap header/source: case-insensitive search as
	  fallback (by Alpha)

2012-12-18 21:27  mortenmacfly

svn8690:  * major overhaul for headerfixup plugin
	  * also fixes issue reported here:
	  http://forums.codeblocks.org/index.php/topic,17095.0.html

2012-12-18 07:36  mortenmacfly

svn8689:  * fixed "error: converting to execution character set:
	  Illegal byte sequence" hopefully once and for all

2012-12-18 07:07  mortenmacfly

svn8688:  - another warning removal

2012-12-18 07:04  mortenmacfly

svn8687:  * applied patch #3368: LCC-Win32 compiler default
	  options (modified) (by Alpha)
	  * Wizard: update default compiler options (by Alpha)

2012-12-18 07:00  mortenmacfly

svn8686:  * Compiler: make commands-only targets run-able (by
	  Alpha)

2012-12-17 14:37  mortenmacfly

svn8685:  * CC: header completion: fix Windows path issues (by
	  Alpha)

2012-12-16 15:56  mortenmacfly

svn8684:  * fix for the last commit (missing UTF-8 encoding)

2012-12-16 15:18  mortenmacfly

svn8683:  * fixed "invalid sequence" compilation error ->
	  encoding issue?

2012-12-16 15:03  mortenmacfly

svn8682:  * watches: inform, if killing the process succeeded in
	  the logs (for debugging)
	  - reduced warnings once more
	  - removed weird wrong letter (encoding?!)

2012-12-16 15:02  mortenmacfly

svn8681:  * compiler: inform, if killing the process succeeded in
	  the logs (for debugging)
	  - reduced warnings once more

2012-12-16 14:58  mortenmacfly

svn8680:  - reduced warnings once more

2012-12-16 14:10  mortenmacfly

svn8679:  * compilation fix (max_logs -> LogManager::max_logs)

2012-12-16 14:10  mortenmacfly

svn8678:  * prefer dynamic event connection over event table
	  (fixes some debug warnings in wx2.9)

2012-12-16 14:09  mortenmacfly

svn8677:  - re-add shortcut for "full screen" that got lost

2012-12-16 14:08  mortenmacfly

svn8676:  * no functional changes!
	  - reduced warnings once again
	  - some style improvements

2012-12-16 14:04  mortenmacfly

svn8675:  *applied patch #3382: Enlargment of autocomplete's
	  listbox

2012-12-16 14:04  mortenmacfly

svn8674:  * CC: applied patch #3392: classbrowser: use
	  Token::m_FullType instead of m_BaseType

2012-12-16 07:26  mortenmacfly

svn8673:  * EditorTweaks: match indent style on demand

2012-12-16 00:03  jenslody

svn8672:  * EditorTweaks-plugin: build-fix

2012-12-15 23:14  jenslody

svn8671:  * cbAuiNotebook: make sure tabtooltips are always shown
	  for newly added tabs

2012-12-15 23:14  jenslody

svn8670:  * wxSmith-plugin: fix warning

2012-12-15 21:21  jenslody

svn8669:  * update version number from 10.05 to 12.11 in
	  spec-file template

2012-12-15 00:10  tpetrov

svn8668:  * abbreviations: Fix bug #18824 '\n' becomes newline on
	  restart (by alpha001)

2012-12-15 00:10  tpetrov

svn8667:  - scintilla: remove unportable code and synchornize stc
	  with wxgtk's stc code;

2012-12-15 00:10  tpetrov

svn8666:  - remove clang warning;

2012-12-15 00:10  tpetrov

svn8665:  - remove strange usage of anonymous namespace;

2012-12-15 00:09  tpetrov

svn8664:  - remove some unneeded pragmas (fix clang warning)

2012-12-14 15:21  mortenmacfly

svn8663:  * fixes to update29 (to include creation of compiles
	  folders)
	  * fixes to update29.bat to rename console runner executable
	  properly
	  - tiny enhancements to other update scripts

2012-12-13 15:31  mortenmacfly

svn8662:  * applied patch #3346: Update DMD compiler settings for
	  current version

2012-12-13 15:18  mortenmacfly

svn8661:  * applied patch #3365: SmartIndent: enable on entire
	  C-language family

2012-12-13 15:07  mortenmacfly

svn8660:  * applied patch #3365: SmartIndent: enable on entire
	  C-language family

2012-12-13 15:00  mortenmacfly

svn8659:  * applied patch #3364: ScriptedWizard: WX wiz fallback:
	  Query to link debug target to release libs, in case debug libs
	  are not available

2012-12-13 14:55  mortenmacfly

svn8658:  * fixed rare bug with linefeeds in abbreviations plugin

2012-12-13 14:53  mortenmacfly

svn8657:  * applied patch #3383: Abbreviations: auto-comp icon

2012-12-13 14:50  mortenmacfly

svn8656:  * applied (modified) patch #3380: Highlight Lang: parse
	  #! and recognize standard headers

2012-12-13 14:44  mortenmacfly

svn8655:  * applied patch #3379: EditorTweaks: buffer pos, smooth
	  scroll

2012-12-13 14:40  mortenmacfly

svn8654:  * compiler: fixed bug with compiling PCH files in case
	  compiler is *not* PCH capable

2012-12-13 14:39  mortenmacfly

svn8653:  * applied patch #3385: Allow using macros in compiler
	  executable name

2012-12-13 14:37  mortenmacfly

svn8652:  - CC: whitespace + another test case

2012-12-13 14:33  mortenmacfly

svn8651:  * CC: applied patch #3391: preprocessor completion
	  improvements

2012-12-13 10:23  mortenmacfly

svn8650:  - updated references to 10.05 to 12.11 in trunk, too

2012-12-12 19:18  mortenmacfly

svn8649:  * merged xml_compiler branch into trunk (branch to
	  trunk)
	  * xml_compiler branch is now OBSOLETE therefore

2012-12-09 23:28  tpetrov

svn8647:  - fix a hidden virtual function warning

2012-12-09 15:35  tpetrov

svn8646:  * close all selected projects in the project tree, not
	  only the first one;

2012-12-09 15:35  tpetrov

svn8645:  - make the unix cb projects to use the debug
	  perspective, when running cb from cb;

2012-12-02 14:48  tpetrov

svn8644:  - automatic changes made by newer C::B's on the unix
	  project files;

2012-12-01 08:58  mortenmacfly

svn8643:  - removed too many debug log messages from
	  abbreviations plugin

2012-12-01 07:08  mortenmacfly

svn8642:  * fix outdated file extension with SDCC compiler as
	  described here (patch by Alpha):
	  http://forums.codeblocks.org/index.php/topic,17130.msg117753.html#msg117753

2012-11-29 08:00  tpetrov

svn8640:  - noPCH build fixes, fix some formatting problems,
	  replace 0L with nullptr

2012-11-29 08:00  tpetrov

svn8639:  - wx29 build fix;

2012-11-29 08:00  tpetrov

svn8638:  - debugger: the call to reset the colours of a property
	  have been renamed in wx29, so I've used it;

2012-11-29 08:00  tpetrov

svn8637:  - compiler: allow the user to choose if the custom
	  variables should be quoted or not (needed when entering something
	  like |wx-config --libs|);

2012-11-29 08:00  tpetrov

svn8636:  - make EditPair dialog sizable;

2012-11-29 08:00  tpetrov

svn8635:  * debugger: fix crash on shutdown when cb is built with
	  wx29;

2012-11-29 07:59  tpetrov

svn8634:  * debugger: parse correctly structs containing wide
	  char strings or characters;

2012-11-29 07:59  tpetrov

svn8633:  - debugger: add wx29 unix project for the debugger test
	  application;

2012-11-29 07:59  tpetrov

svn8632:  - debugger: fix wx29 assert when calling wxString::Last
	  on empty string;

2012-11-29 07:00  mortenmacfly

svn8631:  * abbreviations: Support for multiple languages by
	  darmar
	  * abbreviations: Explicit support for Fortran as additional
	  language by darmar

2012-11-29 06:59  mortenmacfly

svn8630:  * DoxyBlocks: support for Fortran, provided by darmar

2012-11-27 14:48  mortenmacfly

svn8628:  * CC: optimise code by introducing a common function
	  "AddIncludeDirsToParser" in native parser
	  - CC: avoid accessing negative positions in STC control in loop
	  - CC: some clarifications in functions
	  - CC: make all batch parser timer intervals defined in one place

2012-11-27 12:47  mortenmacfly

svn8627:  - some Mac build fixes

2012-11-27 10:43  mortenmacfly

svn8626:  * fixed bug #18809 by applying scintilla patch from
	  https://sourceforge.net/tracker/?func=detail&aid=3578824&group_id=2439&atid=102439

2012-11-27 05:32  mortenmacfly

svn8625:  * EditorTweaks: applied patch by Alpha to make the
	  editor less jumpy initially with "buffer caret position" turned
	  on

2012-11-26 13:09  mortenmacfly

svn8624:  * CC: support for keyword "throw", to fix bug #018711
	  - CC: remove obsolete code
	  - CC: move some variable declaration out of locker
	  - CC: trace, if HandleFunction fails to parse specific constructs

2012-11-25 15:00  mortenmacfly

svn8621:  - some tiny compile adjustments for Mac, provided by
	  afb (no functional changes)

2012-11-25 14:07  mortenmacfly

svn8619:  * fixed UTF detection for files w/o BOM (rely on
	  Mozilla detection engine in that case)

2012-11-25 11:11  mortenmacfly

svn8617:  * transfer important changes from release branch into
	  trunk

2012-11-25 06:23  mortenmacfly

svn8611:  * applied (slightly modified) patch by dmoore to allow
	  adv. regexes in find in files
	  * added dialog to inform about the end of search and replace in
	  files
	  - reduce some warnings

2012-11-25 03:54  dmoore

svn8610:  ToolPlus: log message was incorrectly using error style

2012-11-24 21:30  jenslody

svn8608:  * added dmoore (Damien Moore) to developer list in
	  about-dialog

2012-11-24 10:21  mortenmacfly

svn8603:  * all project files: introduceg flag (GCV) named
	  "CB_RELEASE_TYPE"

2012-11-24 10:17  mortenmacfly

svn8602:  * plugin manager: removed obsolete API (thanks darmar)

2012-11-23 22:40  mortenmacfly

svn8599:  * fixed missing member variable initialisation as
	  reported here:
	  http://forums.codeblocks.org/index.php/topic,17070.msg117249.html#msg117249

2012-11-23 09:21  mortenmacfly

svn8598:  * SFML wizard: make it more generic

2012-11-23 08:50  mortenmacfly

svn8597:  * fix bug #18791: "Multiple matches" message when "find
	  declaration" used

2012-11-23 08:46  mortenmacfly

svn8596:  * GDB: attempt to fix bug described here:
	  http://forums.codeblocks.org/index.php/topic,17114.0.html

2012-11-23 08:44  mortenmacfly

svn8595:  * applied patch #3375: CC: handle local scope
	  - refined some debug messages

2012-11-23 08:43  mortenmacfly

svn8594:  * applied patch #3374: SpellCheck: fix tooltip on Linux

2012-11-23 08:42  mortenmacfly

svn8593:  - white space

2012-11-23 08:42  mortenmacfly

svn8592:  - remove annoying "file not found" message from CC, if
	  debug log is active

2012-11-23 08:41  mortenmacfly

svn8591:  - some more (internal) logging about parser state

2012-11-23 08:39  mortenmacfly

svn8590:  * applied patch by Alpha to remove some annoyance in
	  EditorTweaks plugin

2012-11-23 08:38  mortenmacfly

svn8589:  - make local cc project (in plugin folder) work
	  with"Run", remove warnings

2012-11-23 08:38  mortenmacfly

svn8588:  - added new CC test case for imple/decl findings

2012-11-21 07:37  tpetrov

svn8587:  - debugger: Add debugger items in the context menu even
	  if there is no project. Reorder checks for performance;

2012-11-21 05:28  mortenmacfly

svn8586:  - CC fixed possible crash candidate for
	  http://forums.codeblocks.org/index.php/topic,17115.msg117126.html#msg117126
	  (although I cannot reproduce)

2012-11-20 20:18  mortenmacfly

svn8585:  - CC: use PARSER_IMG_CLASS instead of
	  PARSER_IMG_CLASS_PUBLIC if scope is unknown for a class

2012-11-20 13:44  mortenmacfly

svn8584:  * CC: fixed crash bug described here:
	  http://forums.codeblocks.org/index.php/topic,17115.0.html
	  * CC: fixed images shown wrong sometimes in symbol browser

2012-11-20 08:56  mortenmacfly

svn8583:  - reduced warnings

2012-11-20 08:53  mortenmacfly

svn8582:  * debugger: fixed "Cannot find bounds of current
	  function" issue (debugger cannot be stopped after a Step()
	  resulting in that message)

2012-11-19 15:09  mortenmacfly

svn8581:  - CC: variable naming: fix spelling mistakes
	  - CC: reduce warnings
	  - CC: remove some debug log output, flooding the debug log (done
	  too much)

2012-11-19 13:53  mortenmacfly

svn8580:  * applied (modified) patch #3371: EditorTweaks: Fix bug
	  #18795

2012-11-19 00:58  tpetrov

svn8579:  - noPCH build fix for windows;

2012-11-18 20:56  mortenmacfly

svn8578:  * added wx2.9.x project for SmartIdent (windows only)
	  - a little house-keeping on project files (harmonisation of build
	  order)

2012-11-18 20:16  mortenmacfly

svn8577:  - little i18n fix (make it easier to translate)

2012-11-18 20:09  mortenmacfly

svn8576:  * applied patch #3360: Enable the use of MSVC2010/MSDN
	  help

2012-11-18 20:06  mortenmacfly

svn8575:  * encoding detector: improved detection of files that
	  contain 0x00 (its more correct now and reads until 0x00 at least)
	  - cbEditor: removed obsolete methods and comments
	  - cbEditor: reduced warnings

2012-11-18 15:59  mortenmacfly

svn8574:  * general clean-up of wxSmith dialogs (no functional
	  change!):
	  - reduce number of sizers needed
	  - do not make sizers member variables if not needed
	  - do not use too much space (i.e. borders left and right for both
	  items next to each other)
	  - remove specific size set (if not needed) -> may fix some wx29
	  issues
	  - explicitly set flex grid sizer rows and cols -> may fix some
	  wx29 issues
	  - reduce compiler warnings a little
	  * wxsSizerParentQP: compute checkbox for "border all" correctly

2012-11-18 14:44  mortenmacfly

svn8573:  * wxSmith: don't allow to unsplit the "Resource" tab
	  (otherwise it cannot be split anymore and it doesn't make sense
	  anyways)

2012-11-18 13:11  pecan

svn8572:  BrowseTracker - remove shadowed var from
	  GetCurrentScreenPosition()

2012-11-15 19:21  killerbot

svn8571:  - up warning level and warnings fixed

2012-11-15 18:59  killerbot

svn8570:  - up warning level and warnings fixed

2012-11-15 18:06  killerbot

svn8569:  - addition to previous commit, svn playingtricks on me

2012-11-15 18:04  killerbot

svn8568:  fix to previous commit, fixed the hacky code, you get
	  another method through a header, don't quickly think you know the
	  signature and declare it yourself

2012-11-15 17:55  killerbot

svn8567:  fix to previous commit, increment was forgotten

2012-11-14 23:39  thomasdenk

svn8566:  - Added a break statement
	  - Removed nul character

2012-11-14 22:49  killerbot

svn8565:  - up warning level and warnings fixed

2012-11-14 22:39  killerbot

svn8564:  - up warning level and warnings fixed

2012-11-14 22:36  killerbot

svn8563:  - up warning level and warnings fixed (1 left to fix)

2012-11-14 22:31  killerbot

svn8562:  - up warning level and warnings fixed

2012-11-14 22:25  killerbot

svn8561:  - up warning level and warnings fixed

2012-11-14 22:13  killerbot

svn8560:  - up warning level and warnings fixed

2012-11-14 11:20  mortenmacfly

svn8559:  - fix some warnings (no functional change)

2012-11-14 11:17  mortenmacfly

svn8558:  * fix issue with multiple display, as described here:
	  http://forums.codeblocks.org/index.php/topic,17038.msg116770.html#msg116770

2012-11-13 20:52  tpetrov

svn8557:  - add me, ollydbg and danselmi to the list of
	  developers in DlgAbout;

2012-11-13 11:04  thomasdenk

svn8555:  - More warnings

2012-11-12 18:40  thomasdenk

svn8554:  - Removed more shadow warnings and a few unused
	  warnings that had slipped through
	  - Flagged 2 suspicious spots for review (Martin)
	  - Addes braces on single-statements where compiler wanted it (1
	  flagged)
	  - Replaced some "it" iterators and "i" loop counters with longer,
	  more explicit names even when the compiler did not complain about
	  shadowing. This is both for making the code more
	  readable/reviewable and making occurence highlighting (3
	  characters upwards) work in your favour

2012-11-11 13:17  mortenmacfly

svn8549:  - add comments to modification on 3rd party libs (no
	  functional changes)

2012-11-11 13:16  mortenmacfly

svn8548:  - white-spacing, indention (no functional change)

2012-11-11 13:15  mortenmacfly

svn8547:  * scripted wizard: silence warning in verbose mode

2012-11-11 13:14  mortenmacfly

svn8546:  - hundspell: sync with hunspell CVS repo (no functional
	  change)

2012-11-11 13:14  mortenmacfly

svn8545:  * applied patch #3359: A little patch to enable the use
	  of windows help collections

2012-11-11 13:13  mortenmacfly

svn8544:  * applied patch #3354: EditorTweaks: buffer caret
	  position
	  * applied patch #3355: EditorTweaks: Aligner - Auto

2012-11-10 22:36  thomasdenk

svn8543:  - Removed some more trivial "unused" and "shadow"
	  warnings (we're down to 566 warnings!)
	  - Flagged some that were unclear at first glance, or suspicious
	  - Fixed some harmless "comparison of unsigned expression >= 0
	  always true" warnings
	  - Removed some now-obsolete emtpy cbPlugin class implementations
	  from abbrevations plugin

2012-11-10 19:58  thomasdenk

svn8542:  - Reduce 3rd party lib shadow warning noise with easy
	  "harmless" fixes (local not used in outer scope after
	  redefinition, rename parameter aliasing this), plus one "unused"
	  warning

2012-11-10 18:37  thomasdenk

svn8541:  - Prefixed attribute macros (e.g. 'unused') to avoid
	  name conflict with a GTK header that doesn't use double
	  underscores
	  - Replaced all remaining instances that I could find where
	  'unused' or 'optional' would be applicable.
	  - Updated documentation
	  - Removed dead code from main.cpp

2012-11-10 16:30  killerbot

svn8540:  - up warning level (warnings fixed)

2012-11-10 16:18  killerbot

svn8539:  - reduce warning level (will up once I fix the new
	  warnings)

2012-11-10 07:13  mortenmacfly

svn8538:  - silence a few "directory does not exist" or "unable
	  to create directory" warnings in verbose mode

2012-11-09 13:10  mortenmacfly

svn8537:  * raise auto-detect compiler windows so it is top-most
	  on start-up of C::B
	  * print what compilers cause auto-detection to be shone in the
	  debug log (for reference)

2012-11-09 13:02  thomasdenk

svn8536:  - Added 'unused' and 'optional' (alias of unused)
	  attribute macros
	  - Changed all attributes to double-underscore versions to be on
	  the safe side (name conflicts)
	  - Renamed 'warn_unused' to the more explicit
	  'must_consume_result'
	  - Changed sdk_events and filemanager accordingly to reflect
	  changes
	  - Added documentation to create awareness, as nobody seems to
	  have used an attribute macro since Nov 2007

2012-11-09 12:46  mortenmacfly

svn8535:  * adjust the size of an old window session to current
	  display on start-up
	  * this may interfere with restoring position on a multi-monitor
	  system on start-up, but is essential so the main window is not
	  out of screen after startup (happens i.e. with portable C::B when
	  saving the settings on a multi-monitor system and then opening
	  C::B on a single-monitor system again)

2012-11-09 07:31  mortenmacfly

svn8533:  * CC: fixed freeze bug, described here:
	  http://forums.codeblocks.org/index.php/topic,17046.0.html
	  - CC: enhanced test app slightly

2012-11-09 06:38  mortenmacfly

svn8532:  * support for debugger "During startup program exited
	  with exit code 0xABCD" abort criteria
	  - debugger: added some sanity some null-pointer checks

2012-11-09 05:30  mortenmacfly

svn8531:  - white space

2012-11-09 05:26  mortenmacfly

svn8530:  * fixed some lexers (partially on behalf of alpha)

2012-11-08 10:00  mortenmacfly

svn8529:  * pumped (wx)Scintilla to v3.2.3

2012-11-08 06:53  killerbot

svn8527:  -fix the smartindent zipping problem for multicore
	  builds (thanks Jens)

2012-11-07 23:14  killerbot

svn8526:  - remove some warnings, add some extra warning levels
	  in some unix cbp files (easy peasy), and removed /usr/lib/boost
	  as include path from nasi-plugin cbp file since this is most
	  likely not the correct include path (let's see what happens out
	  there)

2012-11-07 23:02  killerbot

svn8525:  - remove some warnings, add some extra warning levels
	  in some unix cbp files (easy peasy)

2012-11-07 16:34  thomasdenk

svn8524:  - Plugin API: removed obsolete (historic) Configure
	  method
	  - Application: removed dead code in PluginManager and MainFrame
	  (calling Configure, but no event registered)
	  - GDB and Abbreviations plugin: eliminated dead code (Configure)
	  - Core plugins: removed overrides of formerly pure virtual
	  members now implemented in base

2012-11-07 12:31  mortenmacfly

svn8523:  * applied patch by dmoore/darmar to enable multiple CC
	  to easily handle files of certain type
	  * added "IsProvciderFor" API to (CC) plugins for that purpose
	  * pumped SDK version

2012-11-07 10:08  mortenmacfly

svn8522:  * applied (modified) patch #3356: Fix: DLL Template +
	  win32gui dialog template for manifests

2012-11-07 10:04  mortenmacfly

svn8521:  * applied patch #3250: Remove an extra item separator
	  in right click menu of CC

2012-11-07 09:58  mortenmacfly

svn8520:  * applied (modified) patch #3323: Abbreviations
	  auto-complete

2012-11-07 09:56  mortenmacfly

svn8519:  * applied patch #3342: Honour compile priority during
	  parallel build
	  * improved sorting of project files by weight

2012-11-07 09:53  mortenmacfly

svn8518:  * applied patch #3324: Add colour selection warnings
	  and errors in Enviroment->View (for dark schemes)

2012-11-07 09:48  mortenmacfly

svn8517:  * cbplugin: fix missing return value and increase SDK
	  version
	  - rest is white-space stuff like alignment to have less conflicts
	  and improve readability

2012-11-07 06:29  mortenmacfly

svn8516:  - a little clean-up in SmartIndent code to improve
	  readability

2012-11-07 04:05  dmoore

svn8515:  * EditorTweak: bug fix - some options were not saved
	  correctly. Also tidies up EditorTweaks config names (warning:
	  this breaks previously set EditorTweaks settings)

2012-11-07 02:52  dmoore

svn8514:  * SmartIndent: default brace and selection brace
	  completion implementation for all languages (thanks to Alpha)

2012-11-06 23:39  thomasdenk

svn8513:  - Reverted wxscintilla platform.h accidentially
	  committed in 8511

2012-11-06 18:01  thomasdenk

svn8512:  * cbPlugin API change: replaced pure virtual functions
	  with empty implementations, removing the necessity for every
	  plugin to implement them, regardless of actual need.

2012-11-06 17:54  thomasdenk

svn8511:  - Annoyed by warning noise (mostly shadowing and unused
	  params), fixed the ones that look harmless enough (gone from 1647
	  to 724). Hopefully didn't break anything.

2012-11-06 11:34  mortenmacfly

svn8510:  - udpated SVN ignore properties

2012-11-05 09:28  jenslody

svn8509:  * renaming XXXSmartIndent to SmartIndentXXX (fixes for
	  debian build-files); added Python to list of smartindent-plugins
	  in rpm-spec file and debian/control

2012-11-05 08:22  mortenmacfly

svn8507:  - SVN properties clean-up

2012-11-05 08:03  mortenmacfly

svn8506:  * renaming XXXSmartIndent to SmartIndentXXX - part 3
	  (WIP - untested, may not yet compile!)

2012-11-05 08:01  mortenmacfly

svn8505:  * renaming XXXSmartIndent to SmartIndentXXX - part 2
	  (WIP - untested, may not yet compile!)

2012-11-05 07:48  mortenmacfly

svn8504:  * renaming XXXSmartIndent to SmartIndentXXX (WIP - does
	  not yet compile!)

2012-11-04 17:46  tpetrov

svn8503:  - debugger: fix strange return statement;

2012-11-03 20:38  dmoore

svn8502:  - SmartIndent: set the usual keyword svn properties

2012-11-03 20:33  dmoore

svn8501:  - SmartIndent: set native eol-style svn property

2012-11-02 08:21  mortenmacfly

svn8500:  - white-space stuff related to last commit (no
	  functional change)

2012-11-02 08:18  mortenmacfly

svn8499:  * fixed a crash when compiling a single file as
	  described here:
	  http://forums.codeblocks.org/index.php/topic,17033.msg116227.html#msg116227
	  (on behalf of Jens)

2012-11-02 05:51  mortenmacfly

svn8498:  * fixed inability to work with files on UNC path's in
	  project
	  * fixed partial file corruption in project tree (not project
	  file) when "saving as" the project under another drive
	  * fixed bugs related to "save as" a project under a sub-folder on
	  a different drive without layout
	  * Note: This version may slow-down loading of projects. We are
	  working on speed-improvments.

2012-11-01 05:47  mortenmacfly

svn8497:  * (slightly modified) fix for (selection) brace
	  completion by Alpha

2012-10-31 05:47  mortenmacfly

svn8496:  * wxSmith: fixed a missing colon in code generation for
	  wxFilePickerCtrl (thanks Alpha)

2012-10-30 06:33  mortenmacfly

svn8494:  - whitespace / correct indention stuff (no functional
	  changes)

2012-10-30 06:32  mortenmacfly

svn8493:  - removed unneeded flag in XRC for debugger option dlg

2012-10-30 06:32  mortenmacfly

svn8492:  * wx(SMith)STC update

2012-10-30 06:25  mortenmacfly

svn8491:  - whitespace

2012-10-30 06:21  mortenmacfly

svn8490:  * second attempt to fixed CC crash bug described here:
	  http://forums.codeblocks.org/index.php/topic,17020.msg116053.html#msg116053

2012-10-29 23:09  dmoore

svn8489:  * SmartIndent: selection brace completion support for
	  more languages (includes a generic selection brace completion
	  fallback in cbEditor)

2012-10-29 21:47  mortenmacfly

svn8488:  * roll-back last revision due to compile error

2012-10-29 21:19  mortenmacfly

svn8487:  * fixed CC crash bug described here:
	  http://forums.codeblocks.org/index.php/topic,17020.msg116053.html#msg116053

2012-10-28 18:31  mortenmacfly

svn8486:  * re-applied corrected patch by Alpha (previous commit
	  was broken)

2012-10-28 13:44  mortenmacfly

svn8485:  * applied patch by Alpha to (hopefully) fix EOL issues
	  with custom abbreviations

2012-10-27 19:29  mortenmacfly

svn8484:  - tiny update on batch build scripts again so they work
	  on folders with spaces

2012-10-27 19:07  mortenmacfly

svn8483:  * have an option to use plain scintilla markers for
	  debugging, too

2012-10-27 19:06  mortenmacfly

svn8482:  - suppress warnings in debug builds or with using
	  --verbose

2012-10-27 19:06  mortenmacfly

svn8481:  * show the project file's base path in project file
	  properties

2012-10-27 11:12  mortenmacfly

svn8479:  * updated batch file based build system

2012-10-23 17:21  killerbot

svn8477:  - remove warning

2012-10-23 06:53  mortenmacfly

svn8476:  * applied patch #3350: CC: follow active indentation
	  and EOL style

2012-10-23 06:50  mortenmacfly

svn8475:  * applied patch #3349: CC: Tooltip fall back to call
	  tip

2012-10-23 06:46  mortenmacfly

svn8474:  * update of wx(Smith)STC/STEdit lib, especially for
	  wx2.9.x

2012-10-23 06:45  mortenmacfly

svn8473:  * update of wxthings lib

2012-10-23 06:44  mortenmacfly

svn8472:  * update of KWIC lib

2012-10-23 06:44  mortenmacfly

svn8471:  * bugfix for wxPDFDoc lib

2012-10-23 06:43  mortenmacfly

svn8470:  * bugfix for hunspell lib (Windows only)

2012-10-23 06:43  mortenmacfly

svn8469:  * update to DoxyBlocks to (hopefully) make it compile
	  with older compilers again

2012-10-21 17:51  dmoore

svn8468:  * EditorTweaks: correctly save the enabled/disabled
	  state of brace conversion in config.

2012-10-21 17:31  dmoore

svn8467:  * EditorTweaks: change implementation of matching brace
	  conversion to avoid conflicts with SmartIndent. (Also will now
	  convert '<' characters if they have a match under the current
	  lexer.)

2012-10-21 01:52  tpetrov

svn8466:  * debugger: Fix parsing watch output, when there is an
	  escaped quote inside a string;

2012-10-19 20:53  tpetrov

svn8464:  - debugger: Fix test project to not use Pointer
	  typedefs, but cb::shared_ptr directly;

2012-10-19 20:07  dmoore

svn8463:  * EditorTweaks: new option 'Convert Matching Braces'.
	  If user selects a brace with a match and types a brace of a
	  different type, the match will be changed to the same type. If a
	  selected brace is deleted with the DEL key, its matching brace
	  will also be deleted. Option is disabled by default and available
	  in the Edit->'Editor Tweaks' menu

2012-10-17 22:07  tpetrov

svn8462:  - better icons (thanks p2rkw)

2012-10-17 06:37  mortenmacfly

svn8461:  - cosmetic: fix shadowing of variable type (no
	  functional change)

2012-10-17 06:15  mortenmacfly

svn8459:  * fixed DoxyBlocks plugin totally wrong event handler
	  interfaces (fixes crashes described here:
	  http://forums.codeblocks.org/index.php/topic,16938.msg115346.html#msg115346)

2012-10-16 08:31  tpetrov

svn8457:  * compiler: Major refactor - remove the generator
	  object from the compiler;
	  
	  Conflicts:
	  src/include/cbplugin.h

2012-10-13 15:05  dmoore

svn8455:  * Find/Replace: remember search path, wild cards etc
	  for find/replace in path (regression) (Thanks Alpha for the
	  patch, rickg for the report)

2012-10-12 09:59  thomasdenk

svn8454:  - Reduced warning noise in autorevision tool

2012-10-12 09:35  jenslody

svn8453:  * SmartIndent-plugin (linux): tiny fix for last commit

2012-10-12 09:30  jenslody

svn8452:  * SmartIndent-plugin (linux): added XML-plugin to
	  automake-system and contrib-plugins list for debian and rpm-base
	  systems

2012-10-12 08:45  mortenmacfly

svn8451:  *applied patch #3347: SmartIndent: more smart indenting
	  (2) by ALPHA

2012-10-12 08:45  mortenmacfly

svn8450:  *applied patch #3347: SmartIndent: more smart indenting
	  by ALPHA

2012-10-11 12:19  mortenmacfly

svn8449:  * fixed bug #18752: Invalid handling of UNC path's in
	  workspace (and project) files

2012-10-10 23:16  tpetrov

svn8447:  * debugger: Detect changes of the symbol of a watch
	  inside the AddWatch call (related to the prev commit);

2012-10-10 23:16  tpetrov

svn8446:  * debugger: Sanitize user input to prevent debugger
	  stalls, caused by the character '\' at the end of the GDB
	  command;

2012-10-09 00:25  tpetrov

svn8444:  * debugger: Add API function to remove all breakpoints
	  correctly;

2012-10-09 00:25  tpetrov

svn8443:  * debugger: Implement proper adjusting of breakpoints,
	  when lines have been added/removed in the editor;

2012-10-08 00:05  tpetrov

svn8442:  * debugger: Fix the remove operation in the breakpoints
	  dialog to correctly refresh the markers;

2012-10-08 00:05  tpetrov

svn8441:  * debugger: Fix the ToggleBreakpoint function to work
	  correctly with multiple debugger plugins;

2012-10-08 00:05  tpetrov

svn8440:  - debugger: Remove unused function;

2012-10-06 22:59  tpetrov

svn8438:  - SpellChecker: Fix no PCH build;

2012-10-06 19:39  tpetrov

svn8437:  - debugger: notify the user the LD_LIBRARY/PATH
	  variable have been altered before runnning the debugger;

2012-10-06 05:06  mortenmacfly

svn8434:  * applied patch #3344: Abbreviations: follow active EOL
	  style

2012-10-06 04:55  mortenmacfly

svn8433:  * applied patch #3338: Irrlicht Template update:
	  Invalid initialisation of reference of type

2012-10-06 04:52  mortenmacfly

svn8432:  * applied patch #3340: SpellChecker: more dictionary
	  based tools

2012-10-02 21:33  tpetrov

svn8431:  - add predefined settings for the terminals on linux;

2012-10-01 21:37  danselmi

svn8430:  - SmartIndent: remove virtual
	  cbSmartIndentPlugin::InComment() in favor of
	  cbStyledTextCtrl::IsComment(). Thanks alpha.

2012-10-01 19:10  danselmi

svn8429:  - CppSmartIndent: fix bug mentioned here:
	  (http://forums.codeblocks.org/index.php/topic,16917.0.html)

2012-09-30 07:57  killerbot

svn8428:  - activate warning level (unix project file) , and
	  remove warnings

2012-09-30 07:20  mortenmacfly

svn8426:  * applied patch #3333: Define collection: make
	  persistent

2012-09-30 07:20  mortenmacfly

svn8425:  * applied patch #3332: Enhanced ctrl context menu

2012-09-29 21:52  jenslody

svn8421:  * SmartIndent-plugin: added to debian and rpm package
	  files.

2012-09-29 14:41  danselmi

svn8418:  * SmartIndent Refactoring applied
	  (http://forums.codeblocks.org/index.php/topic,16587.0.html)
	  Thanks to MortenMacFly, Jens (auto-foo) and darmar
	  (FortranSmartIndent)

2012-09-24 05:54  killerbot

svn8408:  - project build fix

2012-09-23 12:10  mortenmacfly

svn8406:  - harmonised project files for cctest and removed
	  (already statically linked) wxscintilla lib again

2012-09-22 23:59  jenslody

svn8405:  * cctest: linux build-fix

2012-09-22 15:28  mortenmacfly

svn8404:  * improve cctest: allow having an editor and re-parse
	  from editor (preparation for further testing capabilities for
	  nativeparser_base)

2012-09-22 12:58  pecan

svn8403:  Keybinder - fix crashes in RemoveCopyPasteBindings()

2012-09-22 12:06  tpetrov

svn8402:  - debugger: Fix bug caused by entering \ at the end of
	  the string, when setting watches;

2012-09-21 22:39  tpetrov

svn8401:  * debugger: Remove all Pointer typedefs from the base
	  classes (cbWatch, cbBreakpoint, cbStackFrame, cbThread);

2012-09-21 20:57  tpetrov

svn8400:  - Add GetVectorFromString function, which can be used
	  instead of GetArrayFromString;

2012-09-21 20:57  tpetrov

svn8399:  * Make the localization to be off by default, hopefully
	  this will minimize the number of people getting the right-to-left
	  text issue;

2012-09-20 23:39  tpetrov

svn8398:  * ThreadSearch: Add easier access to some of the
	  options;
	  
	  * The options button now shows a menu and now the user can
	  enable/disable
	  options like - whole word, start word, match case, regular
	  expression;

2012-09-20 14:54  jenslody

svn8397:  * correct wrong string-format "fixes" slipped in with
	  commit 8350; thanks Martin K. for the hint

2012-09-19 19:56  jenslody

svn8396:  * Fix an issue in batch-build mode on windows, where
	  the tab-context menu has disappeared immediately after showing
	  it; some code cleanup in cbauibook.cpp

2012-09-16 20:16  jenslody

svn8395:  * revert commit 8391, because it can lead to crashes on
	  shutdown; set AppShuttingDown flag explicitely before the
	  infopane gets destroyed to ensure, that loggers do not use it
	  anymore

2012-09-15 15:40  mortenmacfly

svn8394:  * applied patch #3329: Jump to PP directive: search
	  first line

2012-09-15 15:34  mortenmacfly

svn8393:  * applied (slightly modified) patch by ALPHA to use
	  same directory separators in project files (.cbp) on
	  windows/Linux platforms
	  * see discussion here:
	  http://forums.codeblocks.org/index.php/topic,16596.0.html

2012-09-15 15:28  mortenmacfly

svn8392:  - non-PCH compile fix
	  - some clean-up in comments, docs

2012-09-15 12:57  jenslody

svn8391:  * do not destroy infopane before the managers that
	  might use it are destroyed; should fix possible crashs on
	  shutdown.

2012-09-15 12:56  jenslody

svn8390:  * add global function to copy a menu; needed at least
	  in MainFrame::OnFileNew() with wx2.9, because reusing the menu
	  from the menubar is not allowed anymore.

2012-09-15 12:56  jenslody

svn8389:  * cbauibook: use drag-done event to update the
	  tabctrl-array and (re-)bind events if necessary; fixes an issue
	  with tabtooltips sometimes not being shown in just dragged tabs

2012-09-14 18:46  mortenmacfly

svn8388:  * applied patch #3337 to close bug#18706: Doxyblocks
	  hint correction

2012-09-14 08:35  mortenmacfly

svn8387:  - build fix for non-PCH / 64 bit builds

2012-09-14 01:28  dmoore

svn8386:  - Find/Replace: silence warning from redundant
	  DECLARE_EVENT_TYPE macro

2012-09-13 21:32  killerbot

svn8385:  use correct type (1/0) is not a bool, furthermore it is
	  counterintuitive, on success false is returned and on failure
	  true is
	  returned, furthermore the return value is ignored
	  
	  -This line, and those below, will be ignored--
	  
	  M autorevision/autorevision.cpp

2012-09-13 20:10  dmoore

svn8384:  * wxScintilla: Workaround for brace and occurrence
	  highlights not being redrawn consistently, see
	  http://forums.codeblocks.org/index.php/topic,12164.msg114314.html#msg114314

2012-09-10 19:41  dmoore

svn8383:  * find/replace: 1. workaround for focusing find control
	  after switching tabs on linux 2. disable find in open files if no
	  files open.

2012-09-10 00:04  jenslody

svn8382:  * fix a crash when trying to scroll tabs, introduced
	  with commit 8379

2012-09-10 00:04  jenslody

svn8381:  * another windows build-fix

2012-09-09 23:11  jenslody

svn8380:  * windows build-fix, needed after last commit

2012-09-09 22:31  jenslody

svn8379:  * remove own implemetation of tabtooltips for wx2.9 >=
	  2.9.4, because it's implemented natively now; backport of
	  wx2.9.4's tooltips to cbAuiNotebook; fixes issue described e.g.
	  here:
	  http://forums.codeblocks.org/index.php/topic,15241.msg102256.html#msg102256

2012-09-09 22:31  jenslody

svn8378:  keybinder-plugin: build-fix for linux with wx2.9

2012-09-07 22:20  jenslody

svn8376:  * wxSmith-plugin: wxsitemeditor.cpp: avoid unnecessary
	  calls of FitInside() and Layout(); use Refresh() instead of
	  Update() to invalidate the itemeditor, fixes a longstanding (at
	  least on linux) bug where a a newly added tool or dialog was not
	  shown in the toolspace after adding it; make the toolspace
	  visible on wx2.9

2012-09-07 22:20  jenslody

svn8375:  * wxSmith-plugin: menu-editor: don't try to expand
	  root-item, because it is hidden

2012-09-07 22:20  jenslody

svn8374:  * wxSmith-plugin: wxspropertygridmanager.cpp: only
	  select a property if it exists (avoid assert message in wx2.9)

2012-09-07 22:20  jenslody

svn8373:  * wxSmith-plugin: wxspropertycontainer.cpp: call
	  RestoreSelected() after Thaw(), to ensure selection gets scrolled
	  in the visible range.

2012-09-07 22:20  jenslody

svn8372:  * wxSmith-plugin and wxSmithContribItems: fix more
	  asserts, because of two properties with the same name

2012-09-07 18:31  mortenmacfly

svn8371:  * compilation fix for wx2.9.x, 64 bit

2012-09-07 18:14  mortenmacfly

svn8370:  * keybinder plugin: build fix for wx 2.9.x

2012-09-06 16:35  dmoore

svn8369:  * find/replace: fixes critical find/replace bug
	  introduced with revs 8357-8360, ensures the find edit combo gets
	  focus at dialog initialization and when switching between pages

2012-09-06 14:23  mortenmacfly

svn8368:  - file renaming (2): fix build system

2012-09-06 14:19  mortenmacfly

svn8367:  - file renaming (1) *NOT COMPILABLE!*

2012-09-06 14:18  mortenmacfly

svn8366:  - white space alignment

2012-09-06 14:18  mortenmacfly

svn8365:  - white space alignment

2012-09-06 13:38  ollydbg

svn8364:  - CC: variable name and class name change.
	  (refactoring), no functional code change.

2012-09-05 21:05  tpetrov

svn8363:  - build: no-pch fixes, sorting of includes, removed
	  duplicate include;

2012-09-05 05:43  mortenmacfly

svn8362:  * find/replace: make naming of dialogs and resources
	  consistent

2012-09-05 00:53  dmoore

svn8361:  Find/Replace: turning on regex correctly disables
	  direction

2012-09-05 00:39  dmoore

svn8360:  Find/Replace: must check for removal of the find page
	  before trying to access a second notebook page (missing change in
	  last commit)

2012-09-04 23:31  dmoore

svn8359:  Find/Replace: must check for removal of the find page
	  before trying to access a second notebook page

2012-09-04 21:23  dmoore

svn8358:  Find/Replace: added back option to clear prior find in
	  files results, tweaks to dialog layout, and workaround for hiding
	  the find page on windows

2012-09-04 16:06  jenslody

svn8357:  * wxSmith-plugin: fix assert, because of two properties
	  with the same name, renamimg the property for the numeric value
	  to "Border width"

2012-09-04 14:35  mortenmacfly

svn8356:  * debugger: allow the use of macros for remote
	  debugging, namely addresses and ports

2012-09-04 14:35  mortenmacfly

svn8355:  - spelling + alignment

2012-09-04 14:34  mortenmacfly

svn8354:  * c_str/wx_str() replacements for wx w.9.x

2012-09-04 14:33  mortenmacfly

svn8353:  - addition to previous commit

2012-09-04 14:32  mortenmacfly

svn8352:  * (re-)applied patch #3260: Move QueryClose()
	  functionality to EditorBase (where did it get lost?!)

2012-09-04 12:00  jenslody

svn8351:  * make autorevision work with git-svn and older version
	  of svn that are not able to create xml-output

2012-09-04 08:05  jenslody

svn8350:  * tons of stringformat fixes to avoid asserts with
	  wx2.9

2012-09-04 08:04  jenslody

svn8349:  * Valgrind-plugin: avoid crash on shutdown with wx2.9;
	  CppCheck-plugin tiny source-formatting fix

2012-09-04 04:15  ollydbg

svn8346:  * wxsmith: Fix a bug introduced by rev8336, now only
	  handle the "double click" event when the "Events page" is active,
	  thus avoid unnecessory notifications.
	  - wxsmith: typo fix in comments.

2012-09-03 11:03  jenslody

svn8345:  * source-exporter-plugin: fix search-directory for
	  linker with wx2.9

2012-09-03 11:03  jenslody

svn8344:  * CppCheck-plugin: avoid crash on shutdown with wx2.9
	  similar to compilermessages

2012-09-03 11:03  jenslody

svn8343:  * wxSmith-plugin: avoid assert and crash, when trying
	  to get the last-character of an empty wxString (wxWidgets-bug ?)

2012-09-03 11:03  jenslody

svn8342:  * cbstyledtextctrl: remove remaining event-handlers in
	  dtor, to avoid asserts/crashes in wx2.9

2012-09-03 11:03  jenslody

svn8341:  * cbauibook: use the window label to store tooltip;
	  avoids possible conflict with keybinder-plugin

2012-09-03 11:03  jenslody

svn8340:  * keybinder-plugin: silent build-warning on wxGTK

2012-09-03 11:03  jenslody

svn8339:  * cctest: build-fix

2012-09-03 11:03  jenslody

svn8338:  * wxsmith: avoid assert in wx2.9 and possible crash, in
	  wxsfontproperty.cpp

2012-09-03 07:57  ollydbg

svn8337:  * wxsmith: fix Bug #18718 "wxSmith does not save
	  selected check menu settings" by reading the "checked" value from
	  the xml file.

2012-09-03 07:50  ollydbg

svn8336:  * wxsmith: implement Feature Request #5540 "Jump to
	  event handler implementation", now in the event editor window,
	  the user can double click on the event name (an entry in the left
	  column), if the event has an associated event handler (function
	  body), it will jump to this function body. See discussions here:
	  http://forums.codeblocks.org/index.php/topic,16323.msg114197.html#msg114197

2012-09-03 07:43  ollydbg

svn8335:  - wxsmith: remove #if wxCHECK_VERSION(2, 9, 0)
	  directives for wx_str() and c_str(). Some typo fix. No functional
	  codes change.

2012-09-03 07:34  mortenmacfly

svn8334:  - silence some warnings related to spellchecker in
	  verbose mode of C::B (thanks alpha)

2012-09-03 07:30  mortenmacfly

svn8333:  * applied patch #3328: Do not spam log with "Toggling
	  start page" messages.

2012-09-03 02:36  ollydbg

svn8332:  * SDK: Adding a new option named "AUTO" in the "Editor
	  Settings"->"End of line options", this will let the editor
	  automatically detect which EOL should be used, in a mixed EOL
	  files, using a voting logic. This implement the Feature Request
	  #3969, also see discussions in:
	  http://forums.codeblocks.org/index.php/topic,16764.0.html.

2012-09-03 02:21  dmoore

svn8331:  Find/Replace: disable find in files project/workspace
	  scope options when no project is open (Thanks alpha). Reorder the
	  constructor logic to hopefully correctly hide the Find tab when
	  no editor is open (MS windows issue).

2012-09-02 12:31  tpetrov

svn8330:  - find/replace: no-pch build fixes;

2012-09-02 04:52  dmoore

svn8329:  Find/Replace: new cleaner layout for dialogs

2012-09-01 19:21  pecan

svn8328:  KeyBinder - Merge some global accelerators into the
	  menu table; leave rest as is
	  - Remove Ctrl-C/V/X (copy/paste/cut) from menu keybinder table to
	  allow native behavior

2012-09-01 19:18  pecan

svn8327:  DragScroll - work around scintilla jump bug for
	  LineScroll(-1,0) to the left

2012-09-01 18:46  dmoore

svn8326:  Find/Replace: removed duplicate code from bad patch
	  application, removed find_dialog.xrc

2012-09-01 17:41  dmoore

svn8325:  Find/Replace: make the UI more consistent by sharing a
	  common dialog resource and class. Adds the ability to
	  find/replace in projects other than the active one and specific
	  targets. Also adds the ability to replace in a specified path
	  with specified mask (previously only available for find)

2012-09-01 12:10  jenslody

svn8324:  * wxSmithContribItems: silent warning with wx2.9

2012-09-01 12:10  jenslody

svn8323:  * keybinder-plugin: silent warnings with wx2.9

2012-09-01 11:19  jenslody

svn8322:  codesnippets-plugin: fix assertion in event-connection;
	  id-range upperbound should be greater than the lower-bound, but
	  this can not be guaranteed with XRCID's, so we connect them all
	  manually

2012-09-01 11:19  jenslody

svn8321:  * ThreadSearch: commit forgotten format-fix and
	  typo-correction

2012-09-01 08:46  jenslody

svn8318:  * wxKWIC: fix xpm-images to avoid assert due to
	  incorrect colours count

2012-09-01 08:24  mortenmacfly

svn8315:  - compilation fox for wx2.8.x

2012-08-31 21:43  mortenmacfly

svn8313:  * wxSmithSTC: Update of stedit component

2012-08-31 21:39  mortenmacfly

svn8312:  * FileManager + ThreadSearch + wxContribItems +
	  wxSmithDemo + wxSmithPlot: wx2.9.x compatibility / deprecated
	  fixes

2012-08-31 21:32  mortenmacfly

svn8311:  - " wx2.9" -> " wx2.9" (two space to one space)

2012-08-31 21:28  mortenmacfly

svn8310:  * added batch build scripts for wx 2.9.x (windows)

2012-08-31 21:26  mortenmacfly

svn8309:  * AutoVersioning + codesnippets: wx2.9.x compatibility
	  / deprecated fixes

2012-08-31 21:17  mortenmacfly

svn8308:  * LibFinder + ThreadSearch: wx2.9.x compatibility /
	  deprecated fixes

2012-08-31 21:05  mortenmacfly

svn8307:  * wxContribItem + wxSmith: wx2.9.x compatibility /
	  deprecated fixes

2012-08-31 20:30  mortenmacfly

svn8306:  * merge r8304+8305 from xml_compiler branch to trunk

2012-08-31 18:27  jenslody

svn8302:  * cb_share_config on linux: remove unneeded
	  codeblocks-lib from link-list and also unneeded tinywxuni.cpp
	  from files list

2012-08-31 17:44  mortenmacfly

svn8301:  * fixed build of cb_share_config with wx29 (thanks Tim
	  S.)

2012-08-31 11:35  jenslody

svn8300:  * wx2.9: tons of format fixings for C::B core

2012-08-31 06:17  jenslody

svn8299:  * windows: fix spelling in codeblocks workspace files

2012-08-31 05:43  killerbot

svn8298:  - cctest name change -> update workspaces

2012-08-31 04:53  ollydbg

svn8297:  - silence a build warning that control reaches end of
	  non-void function

2012-08-30 20:41  mortenmacfly

svn8296:  - CC: added project to only compile CC and CCTest app

2012-08-30 20:39  mortenmacfly

svn8295:  - CC: re-factoring of tokenstree to make access more
	  robust against errors
	  - CC: protect internal maps
	  - CC: const correctness (7)

2012-08-30 20:31  mortenmacfly

svn8294:  - adopted project/source files of cctest

2012-08-30 20:26  mortenmacfly

svn8293:  - renamed cctest app/frame files

2012-08-30 20:25  mortenmacfly

svn8292:  - renamed cc_test project files

2012-08-30 20:24  mortenmacfly

svn8291:  - CC: renamed cc_test to cctest

2012-08-30 20:23  mortenmacfly

svn8290:  - CC: const correctness (6)

2012-08-30 20:19  mortenmacfly

svn8289:  - CC: const correctness (5)

2012-08-30 20:17  mortenmacfly

svn8288:  - CC: const correctness (4)

2012-08-30 20:14  mortenmacfly

svn8287:  - CC: const correctness (3)
	  - alignment

2012-08-30 20:12  mortenmacfly

svn8286:  - CC: const correctness (2)
	  - alignment

2012-08-30 20:10  mortenmacfly

svn8285:  - CC: ccdebuginfo const correctness

2012-08-30 20:08  mortenmacfly

svn8284:  - CC: PCH fix

2012-08-30 20:07  mortenmacfly

svn8283:  * fixed bug #18714

2012-08-30 20:03  mortenmacfly

svn8282:  * CC: allow to dump the serialised tree

2012-08-30 13:46  jenslody

svn8281:  * windows: remove exchndl from virtual targets All and
	  Third-party-libs in wx2.9 project-file to make it consistent with
	  wx2.8 project and avoid build errors.

2012-08-30 07:24  mortenmacfly

svn8280:  * fixed bug #18717

2012-08-30 05:45  mortenmacfly

svn8279:  - fixed tiny bug in PlatWX (wxScintilla)

2012-08-30 05:40  mortenmacfly

svn8278:  * pumped (wx)Scintilla to 3.2.2
	  * harmonised (wx)Scintilla with wxSTC from wxWidgets SVN (which
	  happened to have updated scintilla, too lately)

2012-08-29 11:53  jenslody

svn8275:  * windows: add contrib-plugins workspace for wx2.9

2012-08-29 08:39  jenslody

svn8274:  codesnippets-plugin: rename DragScrollEvent to
	  sDragScrollEvent and ThreadSearchEvent to sThreadSearchEvent to
	  avoid asserts due to conflicts while RTTI registration of the
	  DragScroll- and ThreadSerarch-plugin in wx2.9

2012-08-29 08:39  jenslody

svn8273:  * wxSmithContribItems-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8272:  * wxSmith-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8271:  * wxContrib-Items: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8270:  * source-exporter-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8269:  * regex-testbed-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8268:  * profiler-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8267:  * DragScroll-plugin: wx2.9 related fixes

2012-08-29 08:39  jenslody

svn8266:  * headerfixup-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8265:  * codesnippets-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8264:  * ToolsPlus-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8263:  * ThreadSearch-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8262:  * SpellChecker-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8261:  * Nassi-Shneiderman-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8260:  * codecompletion-plugin: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8259:  * logmanager and envvar-plugin: wx2.9 related fix for
	  logging-function

2012-08-29 08:38  jenslody

svn8258:  * automake-system: wx2.9 related fixes

2012-08-29 08:38  jenslody

svn8257:  * wx2.9: create wx2.9 project-files for the
	  contrib-plugins, create Code::Blocks workspaces for wx2.9

2012-08-29 08:37  jenslody

svn8256:  * automake-system: make sure pch's are not created
	  along the sources, when building from seperate builddir; avoid
	  conflicts when building different versions from same source-tree

2012-08-29 07:08  mortenmacfly

svn8255:  - make C::B compile again under Linux using the wx29
	  project file (missing lib prefix for shared libraries)

2012-08-29 07:04  mortenmacfly

svn8254:  - make C::B compile again under Linux using the project
	  file (missing lib prefix for shared libraries)

2012-08-28 19:29  killerbot

svn8253:  remove warning, unused set variable

2012-08-28 11:58  pecan

svn8252:  Browsetracker - correct settings panel on linux

2012-08-28 02:31  ollydbg

svn8251:  - wxsmith: fix many typos in comments

2012-08-27 14:25  ollydbg

svn8250:  - use the id value instead of XRCID macro, because the
	  id value is already assigned.

2012-08-25 21:11  jenslody

svn8249:  * CppCheck-plugin: fix Makefile.am

2012-08-25 08:49  tpetrov

svn8248:  - fixed the no-pch build;

2012-08-22 06:51  mortenmacfly

svn8245:  * added two new SDK events:
	  cbEVT_PROJECT_OPTIONS_CHANGED and cbEVT_COMPILER_SETTINGS_CHANGED
	  - removed some commented, deprecated stuff; non-functional layout
	  changes (tabs/space mixture)

2012-08-22 06:42  mortenmacfly

svn8244:  * wxSmith: removed specialisations not required for
	  some controls (font picker, rich text, simple html listbox),
	  should fix the wxValidator issue reported here:
	  http://forums.codeblocks.org/index.php/topic,16744.0.html
	  - wxSmith: slight re-factoring: re-organised handling of flags,
	  moved them to one place (wxsflags)

2012-08-21 04:49  mortenmacfly

svn8242:  * applied (modified) patch #3319: Fix loading of custom
	  colour schemes

2012-08-21 04:42  mortenmacfly

svn8241:  * SpellChecker: updated hunspell to 1.3.3 (Windows
	  only)

2012-08-21 04:39  mortenmacfly

svn8240:  * applied patch #3316: SpellChecker: search harder for
	  resources

2012-08-20 16:16  killerbot

svn8239:  - remove warnings

2012-08-20 06:33  mortenmacfly

svn8238:  * provided cppcheck plugin with a config panel to setup
	  cppcheck app and args (closes some "bug" reports with deprecated
	  options)

2012-08-17 05:14  mortenmacfly

svn8237:  * applied patch #3315: Fix 018704 bug related to
	  'paste' into IncrementalSearch

2012-08-13 20:53  killerbot

svn8234:  - really bump sdk version for previous commit

2012-08-13 20:52  killerbot

svn8233:  * added power user support for units glob feature
	  (these are directory
	  * paths that can be specified, and all files in there will be
	  considered
	  * as sources for the project. This can be based on a wildcard for
	  the
	  * file types, and can be recursive. When no wildcard is specified
	  * (empty) the default CB wildcard for adding files is used.
	  - no gui interaction yet
	  - 2 new emthods on cbproject are most probably temporary
	  - bumped sdk version

2012-08-13 15:27  ollydbg

svn8232:  - CC Test: refine comments.

2012-08-13 05:22  mortenmacfly

svn8231:  - harmonised project files

2012-08-13 05:20  mortenmacfly

svn8230:  * applied (slightly modified) patch #3313: Improve
	  handling of inactive preprocessor code

2012-08-12 13:28  pecan

svn8229:  BrowsTracker - Add option to wrap jump entries

2012-08-11 02:42  dmoore

svn8228:  toggle to hide/show the start here page

2012-08-10 16:41  mortenmacfly

svn8227:  * added unix versions of batch_build_all and
	  batch_build_core

2012-08-10 09:33  mortenmacfly

svn8225:  * CC: avoid unnecessary class browser updates (part 2)
	  * CC: changed logic of how parser stores file names (they are
	  always being converted to forward slashes for comparison) ->
	  should be more fail-safe, i.e. with patch from here:
	  http://forums.codeblocks.org/index.php/topic,16596.msg113355.html
	  * CC: avoid expensive calls to UnixFileName

2012-08-10 09:21  mortenmacfly

svn8224:  * CC: avoid refreshing class browser if not needed
	  * CC: report issues with class browser updates (i.e. due to
	  missing parser)

2012-08-10 09:18  mortenmacfly

svn8223:  * debugger: exit properly when application cannot be
	  run (i.e. on Windows: Missing access rights)

2012-08-10 09:17  mortenmacfly

svn8222:  * try to fix a crash at shutdown of C::B with active
	  debugger session

2012-08-10 09:17  mortenmacfly

svn8221:  - made the debugger settings dialog smaller by adjust
	  spacers correctly (no functional change)

2012-08-10 05:48  killerbot

svn8220:  - typo

2012-08-09 21:31  jenslody

svn8219:  * (hopefully) fix issues introduced with commit 8206,
	  see:
	  http://forums.codeblocks.org/index.php/topic,16700.msg113443.html#msg113443

2012-08-09 05:35  killerbot

svn8217:  - project file cosmetic changes from having it saved
	  once (lib prefixes, extra wsmith stored fields, etc ..) [so no
	  real new stuff]

2012-08-09 05:31  mortenmacfly

svn8216:  * CC: applied (modified) patch #3311: do not draw
	  tooltips off the edge of the window. ignore wxSCI_C_IDENTIFIER
	  and wxSCI_C_GLOBALCLASS in editor tooltip

2012-08-09 05:26  mortenmacfly

svn8215:  * CC: fixed max_matches settings
	  * CC: moved parsing of project o
	  DoParseOpenedProjectAndActiveEditor (in preparation)
	  * CC: renamed ParsingType to ParserState and moved it to
	  namespace
	  * CC: separated macro parsing of GCC and MSVC
	  * CC: AddGCCCompilerDirs no does not need a compiler anymore ->
	  can be moved to ParserBase for tests

2012-08-08 17:19  killerbot

svn8212:  - remove warnings

2012-08-08 05:07  mortenmacfly

svn8211:  * applied patch #3310: Fix use of numpad enter

2012-08-07 22:18  killerbot

svn8210:  - adding warning level extra to some cbp file

2012-08-07 22:10  killerbot

svn8209:  - fix some warnings and adding warning level extra to
	  some cbp filesof plug-ins

2012-08-07 22:08  killerbot

svn8208:  - fix some warnings and adding warning level extra to
	  some cbp filesof plug-ins

2012-08-07 21:33  killerbot

svn8207:  - fix some warnings and adding warning level extra to
	  some cbp filesof plug-ins

2012-08-07 20:50  jenslody

svn8206:  * gcc 4.7 build fixes: no need to use -fpermissive
	  compiler-flag anymore

2012-08-07 20:50  jenslody

svn8205:  * codesnippets-plugin: avoid warning due to incorrect
	  initialisation of pointer

2012-08-07 19:20  killerbot

svn8204:  - adding some const

2012-08-07 07:18  ollydbg

svn8202:  - remove preprocessor directives for c_str(), currently
	  both wx2.8 serial and 2.9 serial support wx_str().

2012-08-07 05:59  killerbot

svn8201:  - better way of removing the warning by using correct
	  type in the first place

2012-08-07 04:42  mortenmacfly

svn8200:  - get rid of annoying "unused variable" stuff

2012-08-06 18:59  killerbot

svn8197:  - remove some warnings

2012-08-06 18:07  killerbot

svn8196:  - no need anymore for AutoBuffer reference

2012-08-06 16:47  killerbot

svn8195:  * fix memory leak : (deprecated) auto_ptr can NOT deal
	  with arrays
	  - decided to fix in our only user of the problematic AutoBuffer
	  (URLLoader) since this was kind of reinventing the wheel (vector
	  /
	  string )
	  - bumped SDK version since the AutoBuffer is removed

2012-08-06 08:09  ollydbg

svn8193:  -wxsmith: many typo fix in comments

2012-08-06 06:37  mortenmacfly

svn8191:  - fixed spelling mistake

2012-08-05 09:40  killerbot

svn8190:  - remove warnings

2012-08-05 09:12  killerbot

svn8189:  - remove warnings

2012-08-05 08:37  killerbot

svn8188:  - remove warnings

2012-08-04 14:15  killerbot

svn8187:  - remove warnings

2012-08-04 14:08  killerbot

svn8186:  - remove warnings

2012-08-03 18:42  killerbot

svn8184:  -remove warnings

2012-08-03 18:42  killerbot

svn8183:  -remove warnings

2012-07-30 08:50  mortenmacfly

svn8175:  * merged r8174 from xml_compiler branch into trunk
	  (Linux build fix)

2012-07-30 05:24  mortenmacfly

svn8172:  - PCH build fix

2012-07-30 05:16  mortenmacfly

svn8171:  * wxScintilla: implemented DrawRGBAImage
	  - wxSinctilla: allow the use of Scintilla NS
	  - wxScintilla: udpate of underlying scintilla
	  - wxScintilla: harmonisation with QtScintilla and latest changes
	  in wxSTC

2012-07-29 11:29  mortenmacfly

svn8170:  * separated editor/project hooks via namespace
	  - fixed wrong SDK documentation

2012-07-29 11:24  mortenmacfly

svn8169:  * cleaned up the XRC mess in replace dialog
	  * harmonised FindDlg and ReplaceDlg
	  - what's missing: The initial text box size for multi-line
	  replace is a little too small which is corrected as soon as the
	  dialog is resized a little

2012-07-25 21:17  jenslody

svn8164:  * speedup scrolling in wxScintilla, remove no longer
	  needed workaround/hack to block mousewheel-events;
	  see:
	  http://forums.codeblocks.org/index.php/topic,12164.msg112891.html#msg112891
	  and also: http://trac.wxwidgets.org/ticket/9057

2012-07-24 05:21  mortenmacfly

svn8163:  - refinement of GTK project wizard as described here:
	  https://developer.berlios.de/bugs/?func=detailbug&bug_id=18665&group_id=5358

2012-07-23 04:33  mortenmacfly

svn8162:  - wxSmith post build: Make sure the directory exist
	  before copying the images

2012-07-22 16:28  mortenmacfly

svn8161:  * some further support for importing MSVC10
	  solutions/projects (defines, libs, includes, switches)

2012-07-22 10:42  mortenmacfly

svn8160:  * added basic support for importing MSVC10
	  solutions/projects (files only for the moment)

2012-07-21 20:43  mortenmacfly

svn8158:  * merged r8156 from xml_compiler branch

2012-07-20 12:35  mortenmacfly

svn8150:  - removed wrong prefix for wxSmith colouring editor
	  switch

2012-07-20 12:03  jenslody

svn8149:  * add ability to hide the editor tabs, can be toggled
	  with "View -> Hide editor tabs" or "Ctrl+H"

2012-07-19 19:50  mortenmacfly

svn8148:  - wxSmith: clean-up tab vs. space mess a little (no
	  functional changes)

2012-07-19 19:46  mortenmacfly

svn8147:  * wxSmith: implemented ability to select specific
	  wxValidator's (other than wxDefaultValidator) for all widget
	  classes
	  * wxSmith: Fixed a bug with float values on some locales, based
	  on a patch

2012-07-19 19:44  mortenmacfly

svn8146:  - wxSmith: remove compiler warning

2012-07-19 19:44  mortenmacfly

svn8145:  * wxSmith new window dialog: clarify options and don't
	  use member variables if not needed

2012-07-18 20:28  mortenmacfly

svn8142:  * merged r8139 from xml_compiler branch into trunk

2012-07-18 07:15  mortenmacfly

svn8141:  - re-worked GCV dialog (based on a patch of oBFusCATed)
	  [no functional change]

2012-07-17 16:57  mortenmacfly

svn8137:  * merged r8135,8136 from xml_compiler branch into trunk

2012-07-17 13:56  tpetrov

svn8134:  - removed whitespace at the end of the line;

2012-07-15 13:37  mortenmacfly

svn8133:  * updated wxPdfDocument to v0.9.3 (for source exporter
	  plugin), also to fix some memory leaks and font issues

2012-07-15 13:02  mortenmacfly

svn8132:  - separated cbTreeCtrl into its own class for better
	  re-use (no functional change)

2012-07-15 11:39  jenslody

svn8131:  - updated gitignore

2012-07-15 11:35  jenslody

svn8130:  * EditorConfig-plugin (linux): fix for make dist

2012-07-15 11:03  jenslody

svn8129:  * EditorConfig-plugin (linux): fix error in
	  Makefile.am, that prevents the plugin from being build with
	  automake-system

2012-07-15 07:38  mortenmacfly

svn8127:  * updated (wx)Scintilla to 3.2.1 also fixing wxSmith
	  folding

2012-07-15 07:30  mortenmacfly

svn8126:  * applied patch #3238: Remove a lot compiler warnings
	  (unused vars; signed/unsigned)

2012-07-14 18:15  mortenmacfly

svn8125:  - fixed Linux autoconf build issue

2012-07-14 14:16  mortenmacfly

svn8123:  - another fix for the EditorConfig unix project file
	  - udpated SVN ignore properties

2012-07-14 13:11  mortenmacfly

svn8121:  * fixed certain project files on Unix
	  * added Code::Block Unix workspace file (=Code::Block project
	  file + Contrib plugins workspace)
	  - harmonised Windows/Unix project files and workspaces

2012-07-14 12:34  mortenmacfly

svn8120:  * added EditorConfig plugin

2012-07-14 12:21  mortenmacfly

svn8119:  * merged r8117,8118 from xml_compiler branch into trunk

2012-07-14 09:45  mortenmacfly

svn8114:  * updated Windows project files so they work if "devel"
	  output folder and sub-folder do not exist yet
	  * updated Windows project files for easier debugging of plugins

2012-07-14 07:53  mortenmacfly

svn8113:  - remove a lot unused variables (to avoid warnings)
	  - updated codestat resource to layout properly under certain
	  circumstances
	  - IncrementalSearch: do not update menu if its not there (to
	  avoid debug asserts)

2012-07-14 07:48  mortenmacfly

svn8112:  * fixed a crash but in MSVC 10 loader

2012-07-14 07:48  mortenmacfly

svn8111:  * include lower capital "warning" message in GDB's
	  ignore list

2012-07-14 07:47  mortenmacfly

svn8110:  * edit watched resource: removed obsolete sub-resource
	  "dlgEditWatches" (only "dlgEditWatch" is used)
	  - made dlgEditWatch resizable

2012-07-14 07:45  mortenmacfly

svn8109:  * applied patch #3305: Replace all: Use Freeze/Thaw
	  (increases speed)

2012-07-14 07:44  mortenmacfly

svn8108:  * applied patch #3304: Move line(s) up/down (shortcut)
	  * fixed accelerators used twice in main menu
	  - synchronised resource loading (same style of code)

2012-07-13 22:32  killerbot

svn8107:  remove warning, reduce scope of variables and ensure
	  they are initialized

2012-07-13 08:32  ollydbg

svn8106:  - CC: the test.h file was moved to cc_test sub-folder,
	  so adjust the filename passed to Frame constructor.

2012-07-13 07:32  mortenmacfly

svn8104:  - merged non-functional layout changes related to CC
	  from xml_compiler branch

2012-07-10 07:39  mortenmacfly

svn8097:  * updated wxScintilla core to integrate a patch of
	  darmar (for scintilla) to fix Fortran indention
	  * wxScintilla now uses wxFFile for loading and saving (like
	  wxWidgets), if available (should speed up file operations a
	  little)

2012-07-08 10:48  mortenmacfly

svn8096:  - fixed Cscope project file

2012-07-08 04:10  mortenmacfly

svn8095:  - removed non-existing project

2012-07-07 14:55  mortenmacfly

svn8094:  - remove spurious German umlaut character (see here
	  http://forums.codeblocks.org/index.php/topic,16466.msg112361.html#msg112361)

2012-07-07 13:14  mortenmacfly

svn8093:  * applied patch by stahta01 to reduce #defiens needed
	  for compilation of wxPropGrid (see here:
	  http://forums.codeblocks.org/index.php/topic,15938.msg107359.html)
	  -> use was in-consequent anyways
	  - re-ordered build order of plugins in CodeBlocks.workspace file

2012-07-07 12:25  tpetrov

svn8092:  - codestat: Fix noPCH build - broken by the previous
	  commits;

2012-07-07 11:52  tpetrov

svn8091:  * codestat: Fixed bug introduced with the previous
	  commit - now the parser of the entire workspace would parse the
	  duplicate files, just once;

2012-07-07 11:51  tpetrov

svn8090:  * codestat: Select any project or entire workspace to
	  get statistics (patch #3271, thanks sbezgodov)
	  
	  - I've cleaned the patch a bit and made it to conform to the
	  cb-style-guide;
	  - There is a problem that if a file is added to N project, the
	  stats for the workspace will include it multiple times;

2012-07-07 11:51  tpetrov

svn8089:  - codestat: Added the resource files to the unix
	  project;

2012-07-07 11:51  tpetrov

svn8088:  * debugger: Added support for parsing watches returned
	  by the python printings;

2012-07-07 11:51  tpetrov

svn8087:  - added Makefile.in in the ignored files for git;

2012-07-06 13:59  mortenmacfly

svn8086:  * improve and clarify auto-detect compilers dialog a
	  lot

2012-07-06 11:53  mortenmacfly

svn8085:  - CC: re-ordering methods in codecompletion and
	  nativeparser to match the declaration order (NO functional
	  change)

2012-07-06 10:57  ollydbg

svn8084:  * Compiler plugin: Fix the multiply select items issue
	  in the wxListBox, see the discussion here:
	  http://forums.codeblocks.org/index.php/topic,16550.msg112303.html#msg112303

2012-07-05 09:09  mortenmacfly

svn8083:  - user var manager: follow C::B coding guidelines (NO
	  functional change!)
	  - annoying dialog: add missing include guard (NO functional
	  change!)
	  - config panel: mixed space+tab -> space

2012-07-03 18:17  mortenmacfly

svn8082:  * compiler: avoid creating target output folder twice
	  (should speed-up compile time)
	  * compiler: avoid integer overflow in depslib
	  * compiler: avoid checking for filetype twice
	  - compiler: some code optimisations and more debugging output in
	  case of failures during command line generation

2012-06-30 14:37  mortenmacfly

svn8081:  * CC: fixed bug when saving settings for header and
	  source extensions

2012-06-29 20:14  mortenmacfly

svn8080:  * added workspace file for Code::Blocks build_all
	  script whcih contains C::B project (with core plugins) plus the
	  contrib plugins workspace

2012-06-29 04:58  mortenmacfly

svn8079:  - removed some compiler warnings

2012-06-29 04:54  mortenmacfly

svn8078:  - envvar plugin: tiny simplification f code (removed
	  unused variable)

2012-06-29 04:53  mortenmacfly

svn8077:  * added new manager method: IsAppStartedUp as listening
	  for the related APP_STARTUP_DONE event makes no sense in case a
	  plugin is re-activated but is used inn some places
	  * (needs to be used in CC to fix a bug that when re-activating
	  the CC plugin the parser does not start)
	  * some clean-up in the code of the core Manager: remove methods
	  appearing twice (isappShuttingDown and IsAppShuttingDown) in
	  favour of CamelCase, to cleanup the API once and for all
	  * adjusted all plugins under SVN - some are missing, like the
	  FortranProject and TortoiseSVN plugin which hopefully will be
	  adjusted by their maintainer
	  * pumped SDK version therefore

2012-06-28 21:30  jenslody

svn8076:  * fix error in Makefile.am after scintilla update

2012-06-28 05:50  mortenmacfly

svn8073:  * applied patch #3296: Tabs: tidy context menu (part 2)

2012-06-28 05:46  mortenmacfly

svn8072:  * applied patch #3279: Do not strip first blank when
	  saving diff files

2012-06-28 05:45  mortenmacfly

svn8071:  * applied patch #3295: Compiler: implement flag checks

2012-06-28 05:42  mortenmacfly

svn8070:  * added batch (re)build_all files for command lie
	  compilation of C::B under windows

2012-06-28 05:41  mortenmacfly

svn8069:  * updated wxScintilla to 3.20
	  - updated project files accordingly
	  - adjusted settings in cbeditor and editorconfigurationdlg
	  slightly
	  - sync'ed debug marker in disassemblydlg with cbEditor

2012-06-28 05:27  mortenmacfly

svn8068:  * applied patch #3246: Added Java lexer

2012-06-27 11:56  mortenmacfly

svn8067:  * CC: fixed options not saved for symbol browser and
	  some others

2012-06-26 08:35  jenslody

svn8066:  - fix minor debian packaging error

2012-06-21 21:51  killerbot

svn8065:  * ToolsPlus plugin : support reuse of the tools page
	  (window)

2012-06-21 18:36  killerbot

svn8064:  - wrong use pch includes

2012-06-21 18:25  killerbot

svn8063:  * Tools Plus plugin : fix : when tools list is empty
	  and tools get imported the first entry will no longer be EMPTIED

2012-06-21 07:51  ollydbg

svn8062:  - compiler plugin: fix obvious typos.

2012-06-20 19:31  killerbot

svn8061:  * debugger plugin : added support for extended-remote
	  for remote debugging

2012-06-19 19:08  killerbot

svn8060:  support -Wzero-as-null-pointer-constant compiler
	  warning flag on the gnu compiler family

2012-06-17 09:29  jenslody

svn8059:  - added compiler warnings for gcc >= 4.7 to regex-list

2012-06-17 07:52  mortenmacfly

svn8058:  * applied patch #3283: Context menu: Open link in
	  browser (on behalf of ollydbg)

2012-06-17 07:49  mortenmacfly

svn8057:  * applied patch #3278: EditorTweaks: implement strip
	  trailing blanks

2012-06-16 15:28  mortenmacfly

svn8056:  * implemented ability to move/select cursor according
	  CamelCase with STRG (STRG+SHIFT) in editor (options must be
	  enabled) - is a scintilla built-in function.
	  - fixed spelling mistake chkTtrackPreprocessor ->
	  chkTrackPreprocessor
	  - fixed default options of some editor settings in XRC to match
	  default options of config manager (cosmetic change)
	  - alignment for better readability

2012-06-16 07:16  mortenmacfly

svn8055:  - updated *nix wx 2.9.x project file

2012-06-16 06:56  mortenmacfly

svn8054:  * partially applied patch #3293: Tabs: tidy context
	  menus

2012-06-16 06:26  mortenmacfly

svn8053:  - updated project files according previous commits

2012-06-16 06:25  mortenmacfly

svn8052:  - adjusted Makefile.am (Linux build system) in addition
	  to last commit

2012-06-16 06:24  mortenmacfly

svn8051:  * attempt for a build fix for later gcc 4.7.x compilers
	  * new script binding: ExecuteAndGetOutputAndError
	  - some alignment

2012-06-15 10:56  jenslody

svn8050:  - build fix

2012-06-15 08:19  ollydbg

svn8049:  * SDK: Add a new virtual function:
	  GetToolBarPriority(), which define the priority of the plugin's
	  toolbar position, and remove the compiler warning. See:
	  http://forums.codeblocks.org/index.php/topic,16416.msg111345.html#msg111345
	  for more details.

2012-06-15 08:15  jenslody

svn8048:  * fix an issue, that sometimes prevents debugger from
	  finding the tty the app runs in, if not xterm is used as
	  terminal; see
	  http://forums.codeblocks.org/index.php/topic,16207.msg109484.html#msg109484

2012-06-15 08:11  jenslody

svn8047:  * fix an issue that was introduced in commit 7543;
	  console applications only run in console, if "Pause if execution
	  ends" was checked; now the application runs in a terminal, if it
	  is a console-application or if the host application should run in
	  a terminal (set in programs arguments for the actual target); see
	  http://forums.codeblocks.org/index.php/topic,16432.msg111404.html#msg111404

2012-06-15 08:04  ollydbg

svn8046:  * SDK: remove the virtual modifier of the operator=()
	  functions, this silence compiler warnings. see:
	  http://forums.codeblocks.org/index.php/topic,16416.msg111245.html#msg111245
	  for more details.

2012-06-14 14:30  jenslody

svn8045:  - linux (rpm-based systems): partly revert changes from
	  commit 8002 to keep the package layout more clear; add check for
	  CentOS/RedHat < 6 to disable NassiShneiderman-plugin due to
	  incompatible boost-library

2012-06-14 12:15  tpetrov

svn8044:  - debugger: added the test files to the distribution;

2012-06-13 18:18  mortenmacfly

svn8043:  * CC: avoid showing a call tip twice (in case same tip
	  from different parents)

2012-06-13 18:17  mortenmacfly

svn8042:  * fixed bug described here:
	  http://forums.codeblocks.org/index.php/topic,16412.msg111565.html#msg111565

2012-06-13 11:18  mortenmacfly

svn8041:  * committed forgotten header file in addition to last
	  revision

2012-06-13 11:02  tpetrov

svn8040:  - reverted strange whitespace change introduced in
	  r8039;

2012-06-13 06:36  mortenmacfly

svn8039:  * project options dialog: allow to quickly
	  enable/disable all files that belong to a target

2012-06-12 21:14  tpetrov

svn8038:  * debugger: Changed the UI name of the GDB debugger to
	  GDB/CDB, so users are less confudes searching for the CDB
	  debugger settings;

2012-06-11 22:56  tpetrov

svn8037:  * debugger: Fix parsing watches output for static
	  member with optimized values (thanks OsminohejTygr :) for
	  providing the test case)

2012-06-10 14:11  jenslody

svn8036:  * wxContribItems: finally fix the include for gizmosled

2012-06-09 15:57  jenslody

svn8035:  * wxContribItems: add missing include for gizmosled

2012-06-06 20:54  killerbot

svn8034:  * support -std=c++11 compiler flag on the gnu compiler
	  family

2012-06-06 18:54  mortenmacfly

svn8033:  * trial: add FortranProject as external

2012-06-05 07:19  tpetrov

svn8032:  * compiler: Improved the 'Force target relink' featuer
	  introduced in r7595 - now the relinking is done correctly even if
	  the library is set in the project or in the compiler settings;

2012-06-04 14:16  mortenmacfly

svn8031:  * applied patch #3270: CMake lexer (thanks alpha0010)

2012-06-04 14:15  mortenmacfly

svn8030:  * applied patch #3253: Lexer updates (filemasks and
	  keywords), (thanks alpha0010)

2012-06-04 14:05  mortenmacfly

svn8029:  * applied patch #3247: Remember folding (thanks marazm)

2012-06-04 12:39  mortenmacfly

svn8028:  * compile fix for r8027 (forgotten to commit the second
	  part)

2012-06-04 09:36  mortenmacfly

svn8027:  * fixed crash candidate when working w/o workspace
	  - tiny SDK cleanup: keep Get/Set philosophy
	  - 3rd party libs: follow same style for all C::B modifications
	  - PCH fix

2012-06-02 12:55  tpetrov

svn8024:  * debugger: Adding a watch from the context menu must
	  show the Watches window;

2012-06-02 11:50  tpetrov

svn8023:  * debugger: Made it possible to add data breakpoint
	  from the watches window (right click on a watch -> add data
	  breakpoint);

2012-06-02 11:50  tpetrov

svn8022:  * debugger: Modified wxPropGrid to call
	  OnExpand/OnCollapse when the left/right arrow keys are used.
	  This fixes a bug in the Watches window not calling
	  OnExpand/OnCollapse for the watch, thus breaking the gdb/mi
	  debugger;

2012-05-30 19:14  jenslody

svn8021:  * linux (rpm-based systems): fixed dependencies between
	  packages

2012-05-28 19:52  killerbot

svn8020:  - load the workspace layout file
	  - no longer read/write the active attribute in the workspace
	  - this concludes applying the following path
	  * applied (modified) patch 2748 (thanks raybert) : active project
	  no longer stored in workspace but in dedicated layout file for
	  the workspace, and preferred target concept

2012-05-28 19:28  killerbot

svn8019:  SetProject call workspace::ActiveProjectChanged at the
	  right moment

2012-05-28 19:09  killerbot

svn8018:  comment out SetProject call
	  workspace::ActiveProjectChanged (aka previous commit, seems to
	  crash HARD)

2012-05-28 19:00  killerbot

svn8017:  on SetProject call worksapce::ActiveProjectChanged : in
	  preparation of active workspace/preferred target patch

2012-05-28 18:55  killerbot

svn8016:  cbworkspace : method ActiveProjectChanged added : in
	  preparation of active workspace/preferred target patch

2012-05-28 18:23  killerbot

svn8015:  -save he workspace layoutfile (at save workspace time
	  [unconditionally])

2012-05-28 18:09  killerbot

svn8014:  - use preferred target (not yet from persistent layout
	  file, only runtime adjustments) when populating the target menu,
	  using the IsValidTarget method, and as such also taking the
	  current platform into account (has to be supported)

2012-05-28 17:55  killerbot

svn8013:  -workspace:load/save-layout methods (not called yet)
	  [they however call their workspace loader buddies] : in
	  preparation of active workspace/preferred target patch

2012-05-28 17:42  killerbot

svn8012:  - workspaceloader : load/save-laout methods added
	  (nothing being called yet) : in preparation of active
	  workspace/preferred target patch

2012-05-28 17:10  killerbot

svn8011:  - set the preferred target on workspace during target
	  selecton in gui : in preparation of active workspace/preferred
	  target patch

2012-05-28 17:05  killerbot

svn8010:  -preferred target methods on workspace : in preparation
	  of active workspace/preferred target patch

2012-05-28 17:00  killerbot

svn8009:  - IsValidTarget method added : in preparation of active
	  workspace/preferred target patch

2012-05-27 21:36  killerbot

svn8008:  remove warning : unused variable/value

2012-05-27 21:26  killerbot

svn8007:  remove warning : unused variable/value

2012-05-27 21:23  killerbot

svn8006:  easiest way to remove warnings : add virtual destructor
	  to base class

2012-05-27 21:19  killerbot

svn8005:  - remove warnings : pointer != int

2012-05-27 21:00  killerbot

svn8004:  - remove signed/unsigned warnings

2012-05-27 20:59  killerbot

svn8003:  propgrid : remove warnings : unused variables/values

2012-05-27 16:29  jenslody

svn8002:  - linux (rpm-based systems): changed spec-file template
	  to split packages in binaries, arch-independent, development- and
	  header-packages, similar to the debian package-layout

2012-05-27 16:29  jenslody

svn8001:  - linux (rpm-based systems): fixed spec-file template
	  to include new contrib-packages and work with new folder layout,
	  due to split of wxContribItems and wxSmithContribItems; layout
	  changes to enhance readability of spec-file; change
	  version-number in spec-file from 1.0_0.svn to 10.5.svn

2012-05-27 16:29  jenslody

svn8000:  - linux: fix update_revision.sh to work with git-svn
	  also; silent warning on non-debian systems

2012-05-26 08:19  killerbot

svn7999:  * CppCheck : save xml file so it can be processed by CI
	  systems like jenkins

2012-05-25 22:59  jenslody

svn7998:  * EditorTeaks-plugin: fix Makefile.am

2012-05-25 14:48  mortenmacfly

svn7997:  * applied patch by daniloz to fix bug described here:
	  http://forums.codeblocks.org/index.php/topic,14517.0.html

2012-05-25 09:17  danselmi

svn7996:  * EditoTweaks plugin: add option to configure maximum
	  of store aligner items

2012-05-24 18:02  tpetrov

svn7995:  - Set the focus to the correct file and line, when
	  using the --file command line option (thank Daniloz);

2012-05-22 11:37  mortenmacfly

svn7994:  * pumped ASTYLE plugin to astyle v2.03, see here:
	  http://forums.codeblocks.org/index.php/topic,15745.msg108900.html

2012-05-21 07:08  mortenmacfly

svn7993:  - tiny performance patch in addition to last revision
	  (don't trim() 3 times)

2012-05-21 06:14  ollydbg

svn7992:  * CC: Fix a bug when parsing some kind of buffer like
	  "const ALib::CommandLine & cmd", the tailing space should be
	  preserved in m_Str. See more details on
	  http://forums.codeblocks.org/index.php/topic,16361.msg110868.html#msg110868

2012-05-19 14:43  mortenmacfly

svn7991:  * rollback last revision: Jens and my fix crossed in
	  SVN... ooops.

2012-05-19 14:41  mortenmacfly

svn7990:  * build fix for linux

2012-05-19 14:30  jenslody

svn7989:  * help-plugin (linux): make it compilable from separate
	  build-folders, if no development files for bzip2 or zlib are
	  installed

2012-05-19 14:30  jenslody

svn7988:  * linux build-fix, needed after changes in commit 7977

2012-05-19 14:30  jenslody

svn7987:  * build-fix: remove remaining initialisation of no
	  longer existant progressbar-variable

2012-05-19 14:29  jenslody

svn7986:  * fix calculation of relativeToCommonTopLevelPath in
	  cbproject.cpp; fixes issue described here:
	  http://forums.codeblocks.org/index.php/topic,16322.msg110516.html#msg110516

2012-05-19 12:42  mortenmacfly

svn7985:  * applied patch #3281 by ziss_dm for a slight
	  correction for the EditorColourSet::GetLanguageForFile to allow
	  filemasks

2012-05-19 12:39  mortenmacfly

svn7984:  * applied patch #3282 by alpha0010 to fix auto-indent
	  with CR line endings

2012-05-19 12:37  mortenmacfly

svn7983:  * applied patch by Pecan to fix error in find in files
	  dialog, see here:
	  http://forums.codeblocks.org/index.php/topic,16278.0.html

2012-05-19 12:35  mortenmacfly

svn7982:  * allow sorting in find dialog (applied modified patch
	  by Fnar, see here:
	  http://forums.codeblocks.org/index.php/topic,15919.msg107262.html)

2012-05-19 12:31  mortenmacfly

svn7981:  * compiler messages: avoid assertion in debug build on
	  shutdown (in addition to r7968)

2012-05-19 12:31  mortenmacfly

svn7980:  * cppcheck: make use of new file filter for C++

2012-05-19 12:30  mortenmacfly

svn7979:  - editor style (no functional change)

2012-05-19 12:17  mortenmacfly

svn7978:  - editor style (no functional change)

2012-05-19 12:17  mortenmacfly

svn7977:  - fixed technically wrong include style in scripting
	  manager (be consistent with all other scripting using units)

2012-05-19 12:16  mortenmacfly

svn7976:  - some find dialog clean-up

2012-05-19 12:16  mortenmacfly

svn7975:  * changed the time the cbEVT_EDITOR_ACTIVATED event is
	  sent, this allows to obtain the associated project and does no
	  harm
	  * see here:
	  http://forums.codeblocks.org/index.php/topic,16249.msg110684.html

2012-05-19 12:14  mortenmacfly

svn7974:  * cbStatusBar updates / refinements, some slipped
	  already in with last commit

2012-05-19 12:13  mortenmacfly

svn7973:  * added "C++ " and H++" extensions to file filters and
	  scipting

2012-05-19 12:11  mortenmacfly

svn7972:  * applied patch by daniloz to auto-generate ZIPs also
	  for core plugins

2012-05-19 12:07  mortenmacfly

svn7971:  * fixed wrong SDK event definition for settings changed

2012-05-18 23:15  tpetrov

svn7970:  - regexp plugin: Applied patch #3287 'turn red on
	  syntax error' (thanks Alpha);

2012-05-18 22:52  tpetrov

svn7969:  - cpp-check: Fixed bug #18546 (thanks to Alpha);

2012-05-18 22:34  tpetrov

svn7968:  * compiler/todo: Fixed some crashes when the compiler
	  and todo plugins get unloaded/loaded/unloaded. See
	  http://forums.codeblocks.org/index.php/topic,16270.0.html and
	  http://forums.codeblocks.org/index.php/topic,16269.0.html ;

2012-05-18 22:01  tpetrov

svn7967:  * compiler: Switched full command line logging to be
	  the default option for all compilers;

2012-05-14 07:03  mortenmacfly

svn7966:  * CppCheck: Applied patch by Alpha: Proper macro
	  replacement in case of virtual target present

2012-05-11 12:56  mortenmacfly

svn7965:  - make batch build batch files more readable

2012-05-11 07:36  mortenmacfly

svn7964:  - rollback r7956 (use BE instead of AE) -> r7955 was
	  correct in the first place

2012-05-11 07:28  mortenmacfly

svn7963:  - cbEditor: same style for all (cpp unit global)
	  constants

2012-05-11 07:23  mortenmacfly

svn7962:  - proper XRC XML style format

2012-05-11 07:22  mortenmacfly

svn7961:  - coding style

2012-05-11 07:21  mortenmacfly

svn7960:  - committed forgotten implementation file of changed
	  (already committed) wxSmith dialog DataBreakpointDlg

2012-05-11 07:19  mortenmacfly

svn7959:  * update of wxSmithSTC to STEdit from v1.2.6 to v1.6.0

2012-05-11 07:14  mortenmacfly

svn7958:  - tiny update of wxThings

2012-05-09 14:27  ollydbg

svn7957:  * Fix Bug #18534 "Functions returning T* are displayed
	  as returning T", use the m_FullType instead of m_BaseType, so the
	  call-tip shows correctly when the user enter a left parenthesis.

2012-05-09 13:09  ollydbg

svn7956:  - Use CenterOnParent() instead of CentreOnParent(),
	  because the British English is preferred.

2012-05-09 08:03  ollydbg

svn7955:  - Adjust/Center the dialog position after its
	  initialization. See discussion in:
	  http://forums.codeblocks.org/index.php/topic,15818.msg110127.html#msg110127

2012-05-07 19:43  tpetrov

svn7954:  * Improved the UI for the first time C::B is started
	  and there is no default.conf file:
	  - Made the script console to be hidden by default;
	  - Added new method in the cbPlugin used to ask the priority of
	  the created toolbar, the default is 50;
	  - Changed the priority for the compiler and cc plugins to 1 and
	  10 respectively;
	  - Refactored the toolbar creation code - now when the plugins are
	  loaded during the c::b's startup,
	  no toolbars are created, but the toolbars are created at the end
	  after all plugins have been loaded.
	  This makes it possible to sort toolbars by priority and to
	  optimize the layout (the old code created
	  every toolbar on new row, which was pretty ugly);
	  - Made the initial windows size a bit larger and used wxDisplay
	  to ask for the full size of the
	  desktop (not reliable on linux with enlightenment dr17 running);
	  - Made the tips of the day to not show by default and added a
	  link to them on the start here page;
	  (discussed here -
	  http://forums.codeblocks.org/index.php/topic,16288.0.html)

2012-05-03 21:24  danselmi

svn7953:  - ToDo plugin: performance improvement

2012-05-03 17:47  danselmi

svn7952:  fix performance regression introduced in r7897

2012-05-01 09:17  mortenmacfly

svn7951:  fixed word wrap mode not selectable as reported here:
	  http://forums.codeblocks.org/index.php/topic,15883.0

2012-04-29 13:51  mortenmacfly

svn7950:  * optimised layout of debugger dialogs (in core) ->
	  should be centered properly now.

2012-04-29 13:37  mortenmacfly

svn7949:  * fixed regression with import library generation as
	  reported here:
	  http://forums.codeblocks.org/index.php/topic,16199.msg110084.html
	  * optimised writing import library name only in case it differs
	  from the default value (as with all other options)

2012-04-28 10:09  mortenmacfly

svn7948:  - reverted snipped that got accidentally committed in
	  r7945 (see
	  http://forums.codeblocks.org/index.php/topic,16199.new.html)

2012-04-28 10:01  mortenmacfly

svn7947:  - massive SVN properties clean-up in addition to last
	  revision
	  - be consistent across all files in the repo to ease handling

2012-04-27 19:06  tpetrov

svn7946:  * fixed eol-style issues: Added svn:eol-style=native to
	  all files, used the dos2unix tool for some of the broken files
	  (see r7945)

2012-04-26 18:30  mortenmacfly

svn7945:  - PCH fixes
	  - some optimizations (return from function due to error checking
	  before creating local variables not needed if error)
	  - removed white-spaces / alignment fixes (no functional change)
	  - added missing changes in debugger settings dialogs (addition to
	  7941)

2012-04-25 23:12  tpetrov

svn7944:  - help_plugins: Fixed compilation with gcc-4.7;

2012-04-25 21:18  jenslody

svn7943:  * readded close with middle-click on editor- and
	  infopane-tabs, accidently lost in commit 7911

2012-04-25 11:11  mortenmacfly

svn7942:  * abbreviations plugin: applied patch by Neil
	  Butterworth (see
	  http://forums.codeblocks.org/index.php/topic,15450.0.html)
	  * abbreviations pluginlist abbreviations in alphabetic order +
	  cursor visible in the abbreviation code editor

2012-04-25 08:55  mortenmacfly

svn7941:  * finalised re-work of debugger settings dialog so it
	  sizes correctly (fist file already got committed in r7940 by
	  accident)

2012-04-25 08:53  mortenmacfly

svn7940:  * save/load dynamic link library lib name and def file
	  into project file -> *backward compatible* change in project file

2012-04-23 18:02  tpetrov

svn7939:  * debugger: Speed up workspace loading/closing (thanks
	  Pecan);

2012-04-23 18:00  tpetrov

svn7938:  * sdk: Added FindProjectForFile function to the
	  ProjectManager, useful when one needs to find the project for a
	  given file. Refactored the code to use the new function;

2012-04-23 04:29  mortenmacfly

svn7937:  * fixed layout issues on certain platfoms (see here:
	  http://forums.codeblocks.org/index.php/topic,15376.0.html)

2012-04-20 01:24  ollydbg

svn7936:  * Debugger plugin: detecting the PID value by parsing
	  the "[New Thread 2684.0xf40]" like string under MinGW GDB, this
	  avoid to set on/off the debugevents when debugger plugin started
	  and simplify the logic. See:
	  http://forums.codeblocks.org/index.php/topic,16159.msg109092.html#msg109092
	  for more details.

2012-04-18 06:52  tpetrov

svn7935:  - debugger: Added a fixme comment, so I don't forget to
	  improve the code;

2012-04-13 14:25  mortenmacfly

svn7932:  * fixed inconsistency in wxSmith plugin (out of sync
	  with wxSmith files) as reported here:
	  http://forums.codeblocks.org/index.php/topic,16195.0/topicseen.html
	  (thanks frithjofh)

2012-04-12 22:11  danselmi

svn7931:  * SpellChecker plugin: fixed another crash-candidate,
	  remove duplicate code

2012-04-12 07:33  tpetrov

svn7930:  * debugger: Try to use the project's compiler first, if
	  there is no active target, then try the default compiler (thanks
	  to Pecan);

2012-04-09 12:11  jenslody

svn7929:  * wx2.9 : avoid assert message in debug-mode, due to no
	  longer existing menu-entry

2012-04-09 12:11  jenslody

svn7928:  * fix wx2.9 update-scripts after merge with
	  debugger-branch

2012-04-09 08:20  jenslody

svn7927:  * fix linux projectfile for wx2.9; was forgotten in
	  merge

2012-04-09 01:31  ollydbg

svn7926:  -debugger plugin: Adjust the regular expression to
	  match the pending breakpoint of gdb 7.5 and later. See:
	  http://forums.codeblocks.org/index.php/topic,16116.msg109217.html#msg109217
	  for the detailed discussion.

2012-04-07 16:01  tpetrov

svn7925:  - debugger: Disable the options for showing the local
	  variables and function arguments, because they have not been
	  reimpleneted;

2012-04-07 15:24  tpetrov

svn7924:  - debugger: Artifact left from the old code;

2012-04-07 12:12  mortenmacfly

svn7923:  - tiny cod cleanup in CC

2012-04-07 12:07  mortenmacfly

svn7922:  * plugin manager: changed API for CC plugins to query
	  for file extensions the CC plugin is capable to code complete
	  * CC: re-implemented support of code completion for lexer
	  keywords
	  * work is based on a patch by darmar
	  - note: as we've just changed the SDK API version I don't pump it
	  again - take it as part of the merge... ;-)

2012-04-07 11:57  mortenmacfly

svn7921:  - two tiny corrections of merge (no functional change)

2012-04-07 09:15  tpetrov

svn7920:  * MERGED DEBUGGERS BRANCH (wxpropgrid_debuggers) BACK
	  IN TRUNK (all the changes in the branch are incorporated in
	  trunk, now)

2012-04-04 01:08  ollydbg

svn7917:  - fix log errors when comparing unsigned int with int,
	  see:
	  http://forums.codeblocks.org/index.php/topic,15945.msg109050.html#msg109050
	  (Thanks VinniPuh)

2012-03-27 10:25  jenslody

svn7916:  - wx2.9: silent assert-messages in debug-mode

2012-03-27 10:25  jenslody

svn7915:  * fix crash with wx2.9 due to incorrect grid-sizer
	  settings

2012-03-27 07:31  jenslody

svn7914:  - avoid an asssert-message in wx2.9 if TabCtrlheight is
	  zero; exclude an include no longer needed for wx > = 2.9.4

2012-03-26 20:18  danselmi

svn7913:  * Fixed bug mentioned here
	  http://forums.codeblocks.org/index.php/topic,16125

2012-03-26 18:49  jenslody

svn7912:  * refactoring of our own gtk-notebookstyle; don't use
	  it with wxGTK >= 2.9.4, because it is the default style there
	  since r71002

2012-03-26 18:49  jenslody

svn7911:  - add the possibility to have the close-button on the
	  right side of the tab control

2012-03-25 11:03  tpetrov

svn7910:  * editor: Fixed bug introduced with commit r7411 -
	  adding new python file doesn't call the
	  OverrideUseTabsPerLanguage and the global setting for use-tabs is
	  used;

2012-03-24 09:09  mortenmacfly

svn7909:  * SpellChecker plugin: pumped hunspell library from
	  1.2.11 to v1.3.2 for several bugfixes -> Windows only change!

2012-03-23 16:41  biplab

svn7908:  * Fixed: Build with wx-2.9

2012-03-23 16:13  biplab

svn7907:  * Fixed: Build warning due to wx-2.9 deprecated
	  functions.

2012-03-21 00:12  danselmi

svn7906:  * use human-readable language names (thanks ptDev)
	  * remove duplicate code

2012-03-20 08:32  jenslody

svn7905:  - fix project-files for wxsmithContribItems, thanks
	  LETARTARE for reporting

2012-03-18 15:24  biplab

svn7903:  * Fixed: Broken non-PCH build.

2012-03-18 10:55  mortenmacfly

svn7902:  - silence 3 debug warning messages

2012-03-17 13:35  ollydbg

svn7901:  -CC: add comments for BrowserOptions in parser.h.
	  -CC: rename ambiguous function name HandleMacro to
	  HandleMacroExpansion, and fix comments.

2012-03-14 05:29  jenslody

svn7900:  - expand macros in compiler and linker options

2012-03-13 22:15  jenslody

svn7899:  - autotools: wx2.9 detection, avoid error message with
	  older versions of wxwin.m4

2012-03-13 22:06  jenslody

svn7898:  - fix for debian/rules; make it possible to override
	  the autodetection of cpu-count, to fine-tune the parallel build

2012-03-13 21:39  danselmi

svn7897:  * make ToDo list more language independent (mentioned
	  here:
	  http://http://forums.codeblocks.org/index.php/topic,15708.0.html)

2012-03-13 12:37  jenslody

svn7896:  * filemanager.plugin (linux): fix for host-parameters
	  in projectfile; workaround a hang on close or release of plugin,
	  due to problems with gamin and/or kernel.

2012-03-13 12:37  jenslody

svn7895:  * compiler-plugin: overworked custom makefile commands,
	  if not full commandline logging is used

2012-03-13 08:47  tpetrov

svn7892:  * autosave:
	  * Added option to auto-save the workspace;
	  * Added option to save all projects in the workspace, instead of
	  the active one only;
	  * Fixed a bug: prevent the user to enter timer intervals less
	  than 1 min;

2012-03-06 21:27  jenslody

svn7887:  * fix crash, if all tabs in infopane are closed and the
	  right mouse-button is clicked in the tabcontrol

2012-03-06 18:54  tpetrov

svn7886:  - fixed the spelling in the previous commit;

2012-03-06 17:43  jenslody

svn7885:  - avoid assert-message in debug-builds (and wx2.9), if
	  an infopane tab is toggled via close-button or middle-click

2012-03-06 11:36  jenslody

svn7884:  * fix an issue, that prevents infopane's tab layout
	  from being saved when compiled with wx2.9; removed unneeded ifdef

2012-03-05 08:48  mortenmacfly

svn7883:  - preparation of doxygen documentation update

2012-03-03 15:28  biplab

svn7882:  * Fixed: Broken build due to Rev 7880-7881.

2012-03-03 13:29  mortenmacfly

svn7881:  - tiny correction of r7880

2012-03-03 13:29  mortenmacfly

svn7880:  * applied (modified) patch #3162: Append correct line
	  feed in the GetGeneratedFile function of scripted wizard

2012-03-03 12:37  mortenmacfly

svn7879:  * applied (modified) patch #3230: CppCheck: filter
	  non-C/C++ files; update commandline options

2012-03-03 12:32  mortenmacfly

svn7878:  * applied (modified) patch #3249: Cygwin Compiler
	  Autodetect fix

2012-03-03 12:08  mortenmacfly

svn7877:  * applied patch #3216: point SpellChecker url directly
	  to (moved) wiki-page

2012-03-03 10:54  jenslody

svn7876:  * wx2.9: (hopefully) finally fix the dead-lock on
	  startup, that can occur from time to time

2012-03-03 10:53  jenslody

svn7875:  -fix update-script for wx2.9

2012-03-02 16:24  jenslody

svn7874:  - wx2.9 build-fixes for automake system

2012-03-02 16:24  jenslody

svn7873:  - tiny fix for debian package-dependencies

2012-03-01 22:35  jenslody

svn7871:  - tiny fixes for scripts used for automatic updates;
	  fix issue with (at the moment ?) no longer working berlios-urls

2012-03-01 16:55  mortenmacfly

svn7868:  * CC: extracted UI independent code of native parser
	  into an own base class, so we can write tests for it (and use it
	  in ParserTest project)
	  - unfortunately, this was not possible for CC's whole AI
	  functionality, so some parts are still missing

2012-03-01 16:32  jenslody

svn7867:  - reverted commit 5616, that was introduced as
	  temporary fix for a lock-up at start with wx2.9

2012-03-01 09:55  jenslody

svn7866:  * cbauibook: Make sure, MinimizeFreeSpace is not called
	  from insize the resize event, because this does not work on wx2.9

2012-03-01 09:55  jenslody

svn7865:  - explicitely use wx-config from wx2.9 in the
	  appropriate projectfile on Linux

2012-02-29 11:42  tpetrov

svn7864:  - autotools: Fixed make dist;

2012-02-28 17:39  mortenmacfly

svn7863:  * properly make sure CB_PRECOMP is un-defined in case
	  NOPCH is defined

2012-02-28 16:47  mortenmacfly

svn7862:  * CC: applied patch by Darius Markauskas (darmar) to
	  allow foreign CC plugin to take over (basically CC skips file
	  types other than C / C++ now)

2012-02-27 19:30  mortenmacfly

svn7861:  * applied patch #3234: Brace completion over selected
	  text (slightly modified)

2012-02-26 20:16  jenslody

svn7860:  - remove redundant code, that can lead to a warning
	  about unused variable

2012-02-26 11:53  jenslody

svn7859:  - wx2.9 compilataion fixes for gtk-notebook-style; use
	  gtk-notebook as default on linux for C::B's project-files also,
	  needed define was only set for automake-system

2012-02-26 09:58  mortenmacfly

svn7858:  * applied patch #3215: add STL and C Library bindings
	  to HeaderFixup
	  - some clean-up and speed-up in header-fixup plugin

2012-02-25 08:44  mortenmacfly

svn7854:  * compiler: show output size even in case output
	  specification (filename) contains macros

2012-02-24 15:57  biplab

svn7853:  * Fixed: Missing include file.
	  * Fixed: Multiple include file entries.

2012-02-24 15:28  biplab

svn7852:  * Fixed: Compilation warnings with -Woverloaded-virtual
	  switch.

2012-02-23 19:43  mortenmacfly

svn7851:  * simplified compiler plugin and PipedProcess interface
	  to reduce complexity(in preparation for a bugfix)

2012-02-23 12:24  mortenmacfly

svn7850:  - fixed another Linux automake issue

2012-02-23 10:54  jenslody

svn7849:  - wx2.9: reduce assert-messages, due to incorrect
	  settings in xrc-file; avoid assert in VirtualBuildTargetsDlg, if
	  no virtual targets exist

2012-02-23 10:54  jenslody

svn7848:  wxGTK > 2.9: fix Freeze()/Thaw() issue if editor gets
	  (un-)splitted; after thaw nothing was repainted

2012-02-23 10:54  jenslody

svn7847:  * wx2.9: fix crash of projectfile dialog, due to
	  incorrect amount of columns/rows in some wxGridSizer's

2012-02-23 10:30  mortenmacfly

svn7846:  * tiny updated to wxSmith related stuff (no major
	  functional changes)

2012-02-22 21:43  jenslody

svn7844:  - more changes for debian/control, to fix lintian
	  errors

2012-02-22 21:06  jenslody

svn7842:  - tiny changes (mainly formatting) to debian/control
	  file

2012-02-22 18:18  jenslody

svn7840:  * add missing Makefile.am for wxled; tiny fix for
	  wxTreelist's Makefile.am (removed unneded compilerflags); fix for
	  wxTreelists pkg-config file (incorrect include-path)

2012-02-22 16:48  mortenmacfly

svn7839:  * added missing Makeile.am for wxTreeList

2012-02-22 16:35  mortenmacfly

svn7838:  * committed forgotten workspace files (which will
	  correct the build order for wxSmith)

2012-02-22 14:40  mortenmacfly

svn7835:  * merged wxSmith branch into trunk
	  * this brings tons of new wxSmith items, including KWIC,
	  wxImagePanel, wxGridBagSizer and more
	  * based on work of the community, mainly cryogen
	  * for more information, see changelog of wxSmith branch
	  * also, re-factoring of contributed wxWidgets items for wxSmith
	  * PLEASE DO A CLEAN CHECKOUT AND RE-BUILD EVERYTHING FROM
	  SCRATCH!

2012-02-22 08:55  mortenmacfly

svn7832:  * rollback of r7825-r7831

2012-02-22 07:02  mortenmacfly

svn7831:  - compilation fix for 32 bit build
	  (http://forums.codeblocks.org/index.php/topic,15995.msg107828.html)

2012-02-21 20:05  mortenmacfly

svn7830:  - 64 bit updates (5): resource file

2012-02-21 19:55  mortenmacfly

svn7829:  * added 64 bit project files (Windows), using a custom
	  64 bit compiler
	  -> make a copy of "GNU GCC Compiler", rename it it to "GNU GCC 64
	  Compiler", so the project file works

2012-02-21 19:43  mortenmacfly

svn7828:  - 64 bit updates (4): compiler and debugger plugin

2012-02-21 19:26  mortenmacfly

svn7827:  - 64 bit updates (3): SDK and main C::B application
	  - updated build batch files
	  - undefine CB_PRECOMP if NOPCH is defined (to easier find NOPCH
	  fixes)

2012-02-21 19:15  mortenmacfly

svn7826:  - 64 bit updates (2). squirrel library

2012-02-21 19:13  mortenmacfly

svn7825:  - 64 bit updates (1). prep.h

2012-02-21 19:11  mortenmacfly

svn7824:  - tiny clean-up in TinyXML library

2012-02-19 18:06  tpetrov

svn7822:  - compiler: Print the name of the compiler in the
	  'invalid compiler' message. Also print the path to the GUI, where
	  the settings should be changed;

2012-02-19 12:24  mortenmacfly

svn7821:  * added support to import DLL configurations for MSVC7
	  * added support for "FileConfiguration" node for MSVC7 projects
	  (i.e. additional includes)
	  * make use of project UUID for calculating dependencies between
	  MSVC7 projects
	  * first attempt to add support for importing MSVC10 solutions /
	  workspaces / projects (not yet working for all new features)
	  - scripting: show an error if a call to a menu failed (i.e. the
	  menu was not found by its name)

2012-02-19 12:19  tpetrov

svn7820:  * valgrind: Added configuration panel in the
	  Compiler/Debugger Settings. With this dialog the user can control
	  the path to valgrind executable, additional arguments, some of
	  the mem check options;

2012-02-19 10:32  jenslody

svn7819:  - projectmanager: fix the functions that save and
	  expand selected nodes to work correctly with multiple selections

2012-02-18 13:55  tpetrov

svn7818:  * valgrind: Added a menu item to load an external xml
	  log file for the MemCheck tool;

2012-02-18 12:26  tpetrov

svn7817:  * valgrind:
	  * Improved the printing of the stack, now the whole stack is
	  printed in consequtive lines;
	  * Added the option to track-origins, usefull for debugging the
	  unitiliazed access errors;
	  * Printed the second stack related to the track-origins option.
	  Also printed the auxwhat value;
	  * Added ValgrindListLog::Fit() function, called at the end of the
	  log generation, so all the text is visible and the user don't
	  have to move the column sliders;

2012-02-18 11:17  mortenmacfly

svn7816:  * show an info, if a wrong DDE topic has been received
	  (Windows)
	  * set minimal wx version to 2.8.9 (if not, a compile time
	  assertion will be raised) - that's OK; since we need 2.8.9 since
	  a while now
	  - compatible formatting for wx2.8.9+ ad wx2.9

2012-02-18 11:14  mortenmacfly

svn7815:  * fixed silent crash on wx28, Windows (32 bit)
	  - compatible formatting for wx2.8.9+ ad wx2.9

2012-02-17 23:28  tpetrov

svn7813:  * valgrind: Add support for targets, where the
	  execution directory is != '.'; (Codeblocks-unix.cbp is supported
	  now)

2012-02-17 22:55  killerbot

svn7812:  - always put braces, even for 1 liners

2012-02-17 21:53  tpetrov

svn7811:  * valgrind: Add support for projects with virtual
	  targets, like the Codeblocks-unix.cbp project;
	  (Codeblocks-unix.cbp still doesn't work)

2012-02-17 17:26  jenslody

svn7810:  - fix format-strings, that lead to asserts with wx29
	  and probably wx debug-builds

2012-02-17 11:55  mortenmacfly

svn7809:  * merged r6643 from debugger branch into trunk

2012-02-17 11:04  tpetrov

svn7808:  - compiler: Print the name of the compiler at the start
	  of the build/clean process. Hopefully it will ease debugging
	  user's problems;

2012-02-14 19:41  tpetrov

svn7807:  - compiler: noPCH build fix;

2012-02-14 16:11  mortenmacfly

svn7806:  - update of core project files so parallel compilation
	  with wx2.8.x and wx2.9.x using PCH works
	  - enable verbose mode when running C::B from project file
	  - removed irrelevant relocation switch
	  - disable CC collapsing item on wx 2.9.x (leads to a crash)

2012-02-14 15:51  mortenmacfly

svn7805:  - compiler: remove wx 2.9.x wxString compatibility
	  macros also working with wx 2.8.9+ (we have such anyways in many
	  other parts of the code which is working there, too)

2012-02-14 15:45  mortenmacfly

svn7804:  * compiler: removed makefile generator in favour of
	  makefile plugin (needs to be added later)

2012-02-14 15:41  mortenmacfly

svn7803:  - compiler: variable naming

2012-02-14 15:33  mortenmacfly

svn7802:  * compiler: improved way for setting up compiler
	  environment with respect to PATH envvar
	  - compiler: removed commented method declarations no longer
	  available

2012-02-14 15:29  mortenmacfly

svn7801:  * compiler: make compile file request work better
	  (without user interaction
	  - compiler: separate three modes of compilation (for the above)

2012-02-14 15:28  mortenmacfly

svn7800:  * compiler messages: disconnect events connected

2012-02-14 15:14  mortenmacfly

svn7799:  * parsertest: fixed crash bug
	  - cc: avoid compiler warnings

2012-02-12 17:26  jenslody

svn7798:  - changes for debian/control, to avoid conflicts on
	  package-update

2012-02-12 13:02  mortenmacfly

svn7793:  * have C::B flush the current config on "SaveAll" (nice
	  if a nasty plugin crashes C::B at shutdown and thus configuration
	  would be lost)
	  - remove "unused variable" warning for globals.cpp

2012-02-12 12:56  mortenmacfly

svn7792:  * header fix-up plugin: dont "spam" config file if not
	  needed

2012-02-11 02:42  ollydbg

svn7789:  -CC: refactoring to move some type declarations from
	  token.h to tokenstree.h, because these declarations were dedicate
	  to tokenstree.h.

2012-02-10 19:18  mortenmacfly

svn7788:  * CC: updated parsertest to be way faster and show
	  progress of tokens added

2012-02-10 11:48  mortenmacfly

svn7787:  - envars plugin: wx 2.9.x compatibility, trace macro
	  compiler warning

2012-02-10 11:48  mortenmacfly

svn7786:  * envvars plugin: fixed bug described here:
	  http://forums.codeblocks.org/index.php/topic,15926.msg107296.html#msg107296

2012-02-09 07:50  jenslody

svn7785:  * CC-plugin: avoid possible infinite wait, if cc-plugin
	  should be disabled, by adding a termination request; avoid
	  possible memory-violation in UpdateLayout, if classbrowser is
	  floating

2012-02-06 19:09  killerbot

svn7784:  Valgrind plugin : replace macros

2012-02-06 13:15  ollydbg

svn7782:  -SDK: Fix a bug that saving cbp file makes extra
	  content changes, reported in
	  http://forums.codeblocks.org/index.php/topic,15904.msg107177.html#msg107177,
	  the patch is from Morten.

2012-02-05 13:29  mortenmacfly

svn7778:  * CC: pause / resume thread for safety if class browser
	  is updated, so the thread cannot be interrupted anymore
	  * CC: send event from class browser thread to parent (class
	  browser) if something relevant changes
	  - CC: re-organisation of class browser and class browser builder
	  thread due to the above
	  - CC: cleaning up and documenting code

2012-02-05 12:21  jenslody

svn7777:  * speed up closing large project; (hopefully) finally
	  fix a crash on close, that occurs from time to time, see:
	  http://forums.codeblocks.org/index.php/topic,15901.msg107140.html#msg107140
	  and
	  http://forums.codeblocks.org/index.php/topic,15882.msg107033.html#msg107033

2012-02-05 09:23  jenslody

svn7775:  - add the missing cctreectrl-files to wx29 projects
	  also

2012-02-05 07:59  jenslody

svn7774:  * add missing cctrectrl.{cpp,h} to linux projectfile,
	  add cctrectrl.h to windows projectfile

2012-02-05 01:25  ollydbg

svn7773:  -CC: fix build error due to a missing source file in
	  CodeBlocks.cbp. (Thanks stahta01)

2012-02-04 23:33  tpetrov

svn7772:  * Show an InfoWindow, when the end of the document is
	  reached, while using the Search->Find function;

2012-02-04 23:29  tpetrov

svn7771:  - compiler plugin: Select the active target, even if
	  the user wants to edit the build options for a non-active
	  project;

2012-02-04 15:49  mortenmacfly

svn7770:  - CC: formatting

2012-02-04 15:47  mortenmacfly

svn7769:  - updated SVN ignore pattern so they work on Windows,
	  too (thus, avoid committing build stuff)

2012-02-04 15:44  mortenmacfly

svn7768:  - CC: updated Linux build system (automake -> distclean
	  before next build build needed!)

2012-02-04 15:41  mortenmacfly

svn7767:  * CC: separated CCTreeControl into own file
	  * CC: use Mutex instead of critical section for Parser, as it can
	  be traced to a deadlock! (wxCriticalSection just freezes)
	  * CC: separated locker macros, so that individual mutexes can be
	  traced
	  - CC: nativeparser: avoid calling ClassBrowser->UpdateView()
	  twice when settings a new parser (speed improvement)
	  - CC: put embedded ParserDirTraverser class into common block in
	  nativeparser
	  - CC: classbrowser re-organsiation of methods and formatting
	  (preparation for new method of locking, changes NOT yet
	  committed)
	  - CC: some tiny code clean-ups to improve readability

2012-02-02 23:38  mortenmacfly

svn7766:  *CC: fixed a hang on CC, reported here:
	  http://forums.codeblocks.org/index.php/topic,15885.msg107044.html#msg107044

2012-02-02 14:14  ollydbg

svn7765:  CC: fix a build error when CC_ENABLE_LOCKER_ASSERT is
	  defined.

2012-02-02 13:52  thomasdenk

svn7764:  Prevent crash on exit due to referencing unallocated
	  memory from wxArray::Remove (courtesy of Pecan).

2012-02-01 16:44  mortenmacfly

svn7763:  * cclogger: updated CC macros to enable simply assert
	  mode
	  - other files: style/comments

2012-02-01 15:45  jenslody

svn7762:  - add svn.eol property to new update29-script

2012-02-01 15:41  jenslody

svn7761:  * wxWidgets 2.9 related changes: add unix-project-file
	  and update-script; small build-fixes; (hopefully) get rid of the
	  annoying crash on close, due to event-handlers

2012-02-01 12:37  mortenmacfly

svn7760:  * CC: relax "Macro-Foo"

2012-02-01 10:36  mortenmacfly

svn7759:  * removed wx 2.4.x compatibility artefacts (C::B does
	  not compile on wx 2.4.x anyways anymore...)

2012-02-01 10:34  mortenmacfly

svn7758:  - dumping style changes of my working copy (no
	  functional change)

2012-02-01 10:10  mortenmacfly

svn7757:  - consistent SVN EOL style and SVN keyword substitution
	  for other files (finally...)

2012-02-01 10:01  mortenmacfly

svn7756:  - consistent SVN EOL style and SVN keyword substitution
	  for other files

2012-02-01 10:00  mortenmacfly

svn7755:  - consistent SVN EOL style and SVN keyword substitution
	  for other files

2012-02-01 09:59  mortenmacfly

svn7754:  - consistent SVN EOL style and SVN keyword substitution
	  for other files

2012-02-01 09:58  mortenmacfly

svn7753:  - consistent SVN EOL style and SVN keyword substitution
	  for other files

2012-02-01 09:50  mortenmacfly

svn7752:  - consistent SVN EOL style across source files

2012-02-01 09:45  mortenmacfly

svn7751:  - consistent SVN keyword substitution across source
	  files

2012-02-01 09:43  mortenmacfly

svn7750:  - keep Unix EOF style for Makefile.am files (SVN
	  property)

2012-02-01 09:42  mortenmacfly

svn7749:  - CC: updated SVN keyword substitution for new files

2012-02-01 09:37  mortenmacfly

svn7748:  * CC: fixed entering a critical section too often in
	  class browser

2012-01-31 21:30  mortenmacfly

svn7747:  * CC again: major refactoring concerning lockers
	  * CC: hopefully removed dead-lock
	  * CC: extracted more classes so they can be used/tested in
	  ParserTest (automake updated)
	  - updated SVN ignore pattern

2012-01-31 12:09  jenslody

svn7746:  * help-plugin: fix annoying occasionally happening
	  crash on shutdown ("double free or corruption" or "corrupted
	  double-linked list"), due to linking against static-libs, that
	  are already linked in through libcodeblocks.so (linux only);
	  style changes

2012-01-31 10:30  mortenmacfly

svn7745:  * CC: made ParserTest "reload" work again
	  * CC: optimised and clarified interface to "SkipToOneOfChars" in
	  parser thread

2012-01-30 17:23  biplab

svn7741:  * Reverted: Partially commit 7739.

2012-01-30 17:03  biplab

svn7740:  * Fixed: Compilation warnings with -Woverloaded-virtual
	  switch.

2012-01-30 16:58  biplab

svn7739:  * Fixed: Compilation warnings with -Woverloaded-virtual
	  switch.

2012-01-30 09:33  ollydbg

svn7738:  -CC: do not match on a token whose length > 1, some
	  comments added.

2012-01-30 06:13  mortenmacfly

svn7737:  * cbProject: fixed possible crash candidate in
	  CloseAllFiles (most likely on shutdown)

2012-01-29 12:08  mortenmacfly

svn7735:  * made About dialog work properly (i.e. not crash)
	  under wx 2.9.x

2012-01-29 09:52  mortenmacfly

svn7734:  - another compilation fix for CC plugin

2012-01-29 06:59  mortenmacfly

svn7733:  - compilation fix for CC plugin

2012-01-29 06:18  mortenmacfly

svn7732:  * CC: major refactoring to allow the use of the
	  CCDEebugInfo dialog in ParserTest project (via menu -> find ->
	  token)
	  * CC: optmised ParserTest application to make use of a file queue
	  (enables to parse files serialised and added in between)
	  * CC: ParserTest allows to scan priority files first (if needed,
	  handle with care -> can take long!)
	  - synchronised projects files Windows / Linux concerning wizard

2012-01-29 05:49  ollydbg

svn7731:  CC: comments refine, no code changes.

2012-01-28 15:17  mortenmacfly

svn7730:  * CC: remove global function from CC
	  * CC: renamed some token variabes to make clear what there are
	  for
	  * CC: overworked parsertest massively to parse files serialised
	  but creating ONE TokensTree (not many temporary)

2012-01-28 15:15  mortenmacfly

svn7729:  * added new cbEditor API to jump to specific line/token
	  (preparation to remove global function from CC)

2012-01-27 21:55  tpetrov

svn7728:  - envvar plugin: Do not uncheck the edited env variable
	  (it seems that SetString unchecks the item);

2012-01-27 16:43  biplab

svn7727:  * Fixed: Broken build due to Rev 7725.

2012-01-27 16:33  biplab

svn7726:  * Fixed: Compilation of CodeSnippets with
	  wxWidgets-2.8.12.

2012-01-27 15:20  mortenmacfly

svn7725:  - CC: adopted *nix/Linux project file for CC parser
	  test

2012-01-27 15:19  mortenmacfly

svn7724:  - CC: adopted *nix/Linux build system according to last
	  changes

2012-01-27 15:10  mortenmacfly

svn7723:  * CC: major re-factoring to separate hidden classes and
	  global hidden methods (using separate files and/or namespaces)
	  - CC: *nix/Linux build system needs update (will follow)

2012-01-27 11:06  mortenmacfly

svn7722:  - CC: some more debug output if creating a token failed
	  (i.e. due out of memory) - only visible, if debugging is
	  explicitely compiled in

2012-01-27 10:40  mortenmacfly

svn7721:  actually valid for r7220 (committed too early by
	  accident):
	  * CC: added support for __attribute__ statements in structs
	  (classes, typedefs)
	  * CC: better handle const and volatile in front of namespaces
	  (classes)
	  - CC: code-refinement an improved readability (separation of
	  sections)

2012-01-27 10:21  mortenmacfly

svn7720:  - CC: formatting (no functional change)

2012-01-27 10:21  mortenmacfly

svn7719:  * CC: made some of the options persist again (ooops)

2012-01-26 15:33  mortenmacfly

svn7717:  * attempt to make KEY_DOWN work in management pane

2012-01-26 15:32  mortenmacfly

svn7716:  - style, no functional changes

2012-01-24 23:32  danselmi

svn7715:  * applied patch #3226 and extended part list with
	  devices supported by gcc-4.5.3

2012-01-24 22:49  danselmi

svn7714:  * Fixed: bug discussed here:
	  http://forums.codeblocks.org/index.php/topic,15847.0.html

2012-01-24 11:01  jenslody

svn7713:  * linux: fix build-errors with automake-system, if
	  "make dist" was not used from C::B's root-folder

2012-01-20 21:28  tpetrov

svn7711:  - autotools build system: Add all scripts in
	  src/scripts to the distribution/installation;

2012-01-17 10:14  jenslody

svn7702:  * do not connect events to dummy editor (used to backup
	  foldstate), avoid moving breakpoints after the end of the file
	  and probably other issues

2012-01-16 23:25  danselmi

svn7701:  * adjust SDCC's options

2012-01-16 14:44  pecan

svn7699:  BrowseTracker - JumpTracker:record deactivated position
	  rather than activated position

2012-01-13 19:22  mortenmacfly

svn7694:  * added batch build files for build and re-build of
	  core and plugins (Windows only atm)

2012-01-06 09:23  mortenmacfly

svn7671:  * merged (back-ported) wxPropGrid changes form debugger
	  branch into trunk foe wx 2.9.x compatibility

2012-01-03 19:14  mortenmacfly

svn7663:  * updates to fix compilation errors under wx 2.9.x
	  (2.9.3 explicitly) under Windows
	  - updated SVN ignore patterns

2012-01-03 17:19  mortenmacfly

svn7662:  - sync'd project files for wx 2.8.x and wx 2.9.x

2012-01-03 17:17  mortenmacfly

svn7661:  * updated project file for compilation of C::B using
	  wxWidgets 2.9.x under Windows in separate older (parallel to wx
	  2.8.x stream)

2012-01-03 10:30  mortenmacfly

svn7660:  * CC: fixed parser dummy implementation not compiling
	  anymore after latest changes

2012-01-03 09:57  mortenmacfly

svn7659:  * CC: better implementation for selecting items from
	  builder thread via event (based on a patch of darmar)

2012-01-03 09:49  mortenmacfly

svn7658:  * removed CC cache to file (not used since ages, the
	  ode is still in SVN for the record)
	  * CC: implemented option to setup files to parse by extension
	  (see
	  http://forums.codeblocks.org/index.php/topic,15760.msg105934.html)

2012-01-02 21:06  tpetrov

svn7657:  - broken_files script-plugin: Made the script to setup
	  the menu correctly, when using translated C::B;

2012-01-01 14:20  jenslody

svn7655:  * fix bug described here:
	  http://forums.codeblocks.org/index.php/topic,15439.msg105875.html#msg105875
	  keyboard events are now send to the project-tree (if not a
	  del-key is pressed), opening files with enter works again

2011-12-30 19:14  mortenmacfly

svn7652:  * reverting r7651 - seems I picked the wrong revision
	  for the backport?!

2011-12-30 15:28  mortenmacfly

svn7651:  * backport of debugger branch commit to fix an issue
	  with BP handling with CDB

2011-12-30 09:20  jenslody

svn7650:  * broken_files script-plugin: fix to correctly remove
	  all broken files at once

2011-12-29 20:02  tpetrov

svn7649:  * script_plugins: Added Find Broken Files plugin made
	  by Morten;

2011-12-29 16:45  tpetrov

svn7648:  - applied the dark theme patch by Carl Colijn
	  (http://forums.codeblocks.org/index.php/topic,15564.0.html)

2011-12-17 13:54  jenslody

svn7639:  * Reimplement GetFile(index) for projects and implement
	  it for targets, to fix broken scripts

2011-12-14 13:52  pecan

svn7636:  CodeSnippets: remove code incompatible with debugger
	  branch

2011-12-13 21:58  danselmi

svn7635:  * added MCS51 project wizard and template (applying
	  patch #3226)

2011-12-13 14:27  pecan

svn7634:  Patch 3111 JumpTracker no wrap mod

2011-12-11 11:22  mortenmacfly

svn7633:  * add plugins node (plugins enabled/disabled) to
	  cb_chare_config, order nodes alphabetically

2011-12-08 10:48  thomasdenk

svn7632:  - Generate empty config if an existing config file is
	  empty (zero-len) or was removed between the access check at
	  startup and opening it (or any other fail-to-load, not
	  fail-to-validate error) rather than raising an exception

2011-12-07 09:38  jenslody

svn7626:  * fix broken "Create project from target..."; was
	  broken since commit 7588

2011-12-04 12:24  mortenmacfly

svn7623:  * applied patch by xunxun to include gfortran compiler
	  into common functions for scripting (setting up common compiler
	  switches)

2011-11-27 09:10  mortenmacfly

svn7620:  - silence another warning in debug mode (there is still
	  a weird error on exit due to CC that I didn't manage to resolve
	  so far)

2011-11-27 08:29  jenslody

svn7619:  * change the way infopane tabs get reordered if
	  necessary; speed up perspective changes a lot (especially on
	  linux), see:
	  http://forums.codeblocks.org/index.php/topic,15544.msg104557.html#msg104557
	  for details

2011-11-26 15:34  mortenmacfly

svn7618:  - editor colourset related: silence warnings in debug
	  build (accessing non-existent directory)
	  - fix a wx 2.9.x compilation error

2011-11-26 15:33  mortenmacfly

svn7617:  - make even more XRC file to have the right, common
	  header to silence debug messages in debug builds (especially wx
	  2.9.x)

2011-11-26 15:17  mortenmacfly

svn7616:  - lib_finder: silence warnings in debug build
	  (accessing non-existent directory and pkg-confg command not found

2011-11-26 15:16  mortenmacfly

svn7615:  - make all XRC file to have the right, common header to
	  silence debug messages in debug builds (expecially wx 2.9.x)

2011-11-26 11:43  jenslody

svn7614:  - another compilation fix

2011-11-26 10:58  mortenmacfly

svn7613:  - tiny compilation fix

2011-11-26 10:48  mortenmacfly

svn7612:  * fixed a few more crash candidates in wxSmithAUI
	  implementation

2011-11-26 10:33  mortenmacfly

svn7611:  * fixed a crash bug in wxSmithAUI notebook

2011-11-26 08:12  killerbot

svn7610:  fix the build : wrong cfortran compiler class used

2011-11-25 20:54  mortenmacfly

svn7609:  - adopted Unix build system to include new Fortran
	  compiler support

2011-11-25 20:50  mortenmacfly

svn7608:  - get rid of some mixed tab/space whitespace mess (NO
	  functional changes)

2011-11-25 20:48  mortenmacfly

svn7607:  * added WORKSPACE_LOADING_COMPLETE SDK event, based on
	  a patch by daniloz

2011-11-25 20:44  mortenmacfly

svn7606:  * added GNU Fortran and G95 compiler support to
	  Code::Blocks (based on C::B Fortran project of darmar (TODO:
	  Adopt Unix build system, will follow...)

2011-11-25 20:39  mortenmacfly

svn7605:  * pumped astyle to v2.0.3

2011-11-24 22:17  jenslody

svn7604:  * changed the way a tab-tooltip is set, so tooltips for
	  newly created files or files "saved as" are shown correctly

2011-11-20 10:44  mortenmacfly

svn7600:  - svn EOL, type and keyword substitution updates for
	  consitency

2011-11-20 10:25  tpetrov

svn7599:  - added mime-type property for an icon

2011-11-20 08:54  mandrav

svn7598:  * when checking for changed static library
	  dependencies, look in compiler's linker search paths too
	  * when checking for changed static library dependencies, include
	  libraries referenced directly (w/out the use of linker's search
	  path)

2011-11-19 09:55  tpetrov

svn7597:  - SpellChecker: changed the order of the libs because
	  the linker couldn't find some symbols

2011-11-19 09:42  tpetrov

svn7596:  - SpellChecker: fixed compilation on linux using C::B

2011-11-18 11:31  mandrav

svn7595:  * Force target re-link if any static library it depends
	  on gets updated (i.e. no need to manually add an external
	  dependency for static libraries anymore)

2011-11-13 19:04  mortenmacfly

svn7593:  - svn EOL, type and keyword substitution updates for
	  consitency

2011-11-13 18:58  jenslody

svn7592:  * increased SDK version number

2011-11-13 18:40  mortenmacfly

svn7589:  * rename PrjTree to cbTreeCtrl to comply with other
	  specialisations
	  * added missing NULL pointer check in projectoptionsdlg
	  - removed dead code
	  - some formatting (removal of tabs and minimising code)

2011-11-13 16:10  jenslody

svn7588:  * switch ProjectFile-List to wxHashSet, decreases time
	  needed to load large projects a lot

2011-11-11 18:13  killerbot

svn7583:  remove warnings due to unused variables, useless sets

2011-11-09 16:01  jenslody

svn7581:  * remove remaining gtk2-dependencies for
	  codesnippets-plugin

2011-11-09 14:12  mortenmacfly

svn7580:  - codesnipptes project file: removed X11 dependency

2011-11-08 22:30  tpetrov

svn7579:  * Added min size setting for the parameters text
	  control in the 'Set programs' arguments' dialog

2011-11-08 19:23  mortenmacfly

svn7578:  - some more linux (unix) project files fixes

2011-11-08 16:32  mortenmacfly

svn7574:  * a couple of Mac compatibility fixes

2011-11-08 13:00  mortenmacfly

svn7571:  - linux (unix) project files fixes: use auto-prefix and
	  auto-extension

2011-11-07 20:09  mortenmacfly

svn7570:  * fixed compilation error introduced with laste commit

2011-11-07 13:49  mortenmacfly

svn7569:  * compiler: allow multiple selection of directories for
	  all operations (copy / delete / move...)

2011-11-06 18:29  jenslody

svn7567:  * revert commit 7307 and 7460, to increase loadspeed
	  for large projects and fix the calculating of the common toplevel
	  path, see:
	  http://forums.codeblocks.org/index.php/topic,15430.msg103943.html#msg103943
	  for details

2011-11-05 16:03  danselmi

svn7563:  - change generated library name (to match the
	  plugin-name)

2011-11-05 00:19  jenslody

svn7562:  * fixes for automake-system, to be able to build C::B
	  from a build-directory, which is not the top_srcdir (thanks
	  milamber for the hint)

2011-11-04 10:07  jenslody

svn7556:  * fix some more issues related to multiple selection
	  tree-ctrl; fix linux (?) refreshh issue with project-tree

2011-11-04 09:24  mortenmacfly

svn7554:  * fixed a few tree selection issues introduced with
	  switch to multiple selections

2011-10-29 17:23  mortenmacfly

svn7548:  * fixed virtual folder not expanding on double click

2011-10-28 14:46  mortenmacfly

svn7546:  - correction of XRC resource files (missing XML tags
	  and alike) -> solves some runtime warnings in debug build, part 3

2011-10-28 14:46  mortenmacfly

svn7545:  - correction of XRC resource files (missing XML tags
	  and alike) -> solves some runtime warnings in debug build, part 2

2011-10-28 14:43  mortenmacfly

svn7544:  - correction of XRC resource files (missing XML tags
	  and alike) -> solves some runtime warnings in debug build

2011-10-28 14:37  mortenmacfly

svn7543:  * partial merge from debugger branch:
	  * added ability to specify whether an application should run in a
	  terminal or not (as this has been requested several times now)
	  * functionality can be accessed via "select target" dialog

2011-10-28 14:14  mortenmacfly

svn7542:  * apply optimisation flags to compiler derived from
	  intel fortran compiler (thanks Darius) -> sixth part of a couple
	  of patches of the FortranProject plugin

2011-10-28 14:13  mortenmacfly

svn7541:  * made ToDo plugin to recognise Fortran comments
	  (thanks Darius) -> fifth part of a couple of patches of the
	  FortranProject plugin
	  * fixed a hug in ToDo list plugin with line endings (CR and LF
	  were swapped)

2011-10-28 14:12  mortenmacfly

svn7540:  * exposed new Fortran file extensions to scripting
	  engine (thanks Darius) -> fourth part of a couple of patches of
	  the FortranProject plugin

2011-10-28 14:11  mortenmacfly

svn7539:  * added new Fortran file extensions (thanks Darius) ->
	  third part of a couple of patches of the FortranProject plugin

2011-10-28 14:10  mortenmacfly

svn7538:  * added new SDK events "cbEVT_COMPLETE_CODE" and
	  "cbEVT_SHOW_CALL_TIP" (thanks Darius) -> second part of a couple
	  of patches of the FortranProject plugin

2011-10-28 14:09  mortenmacfly

svn7537:  * updated fortran lexer (thanks Darius) -> first part
	  of a couple of patches of the FortranProject plugin

2011-10-28 12:04  tpetrov

svn7536:  - CC: noPCH build fix

2011-10-28 07:26  jenslody

svn7534:  - fixed incorrect escape-sequence introduced in commit
	  7523

2011-10-27 08:01  mortenmacfly

svn7531:  * implemented drag & drop of multiple selections
	  (items) in project tree
	  * based on patch#3030 by codeman

2011-10-27 07:29  mortenmacfly

svn7530:  - formatting only, no functional change

2011-10-27 07:28  mortenmacfly

svn7529:  - make use of linker "-Wl,--no-undefined" switch

2011-10-27 07:27  mortenmacfly

svn7528:  - remove obsolete code, formatting

2011-10-27 07:26  mortenmacfly

svn7527:  - comment C::B patches

2011-10-27 07:26  mortenmacfly

svn7526:  * added new SDK event: cbEVT_COMPILE_FILE_REQUEST
	  * prepared compiler plugin accordingly to handle this event
	  - separated code in compiler plugin

2011-10-26 12:19  jenslody

svn7523:  * compiler-plugin: add regexes to correctly recognize
	  skipping of instantiation contexts as info and not as error (at
	  the moment only for GNU GCC compiler); little format fix for
	  compilergcc.cpp

2011-10-26 11:28  jenslody

svn7522:  * compiler-plugin: if writing the HTML-buildlog, we
	  need to replace "<", ">" and "&" with the correct HTML-tags to
	  avoid conflicts (parts of output not shown correctly)

2011-10-22 10:29  mortenmacfly

svn7520:  * CC: updated parser test project to show (optionally)
	  the internal SearchTree as XML structure

2011-10-22 10:28  mortenmacfly

svn7519:  * CC: fix a parser problem on switch case statement,
	  based on a patch by OllyDbg
	  - some spelling corrections, based on a patch by OllyDbg

2011-10-22 10:27  mortenmacfly

svn7518:  - some spelling corrections, based on a patch by
	  OllyDbg

2011-10-22 10:26  mortenmacfly

svn7517:  * applied (modfied) patch by OllyDbg to take portabler
	  setting for compiler into account when looking for GCC include
	  directories
	  - removed duplicated code
	  - removed unused variabes
	  - some spelling corrections, partially based on a patch by
	  OllyDbg

2011-10-22 10:25  mortenmacfly

svn7516:  * applied patch by OllyDbg to center unction on screen
	  on "show decl/impl"
	  - some spelling corrections, based on a patch by OllyDbg

2011-10-21 23:00  jenslody

svn7510:  * codecompletion-plugin: added missing xrc-file to unix
	  project

2011-10-20 20:43  tpetrov

svn7508:  * sort the files for the active project at the top of
	  the results in the 'Search->Goto file...' dialog

2011-10-20 20:36  tpetrov

svn7507:  * Made 'Remove file' context menu item to consider the
	  project tree selection, when generating the list of files to be
	  removed

2011-10-20 20:34  tpetrov

svn7506:  - reverted part of the patch for the global variables
	  ui, which seem to be accidentally commited

2011-10-20 07:06  mortenmacfly

svn7505:  - cbAuiBook: compilation fix for wx 2.9.x

2011-10-19 22:27  jenslody

svn7504:  * fix an issue, where notebookstyle.cpp could not be
	  build, if not either mousesap or codesnippets was also build;
	  make use of gtk-notebooks configurable in automake-system (on as
	  default); check that wxWidgets has at least revision 2.8.8

2011-10-19 20:44  jenslody

svn7503:  * cbAuiNotebbok: fix an issue, where the notebook tabs
	  do not minimize the free space at the right side after reszing
	  the tabcontrol

2011-10-17 20:15  jenslody

svn7502:  * Use gtk-notebook as default notebook on systems using
	  wxGTK, so the notebook-tabs integrate more smooth into the
	  systems theme

2011-10-17 19:40  jenslody

svn7501:  * several small fixes, mostly related to "make dist"

2011-10-17 11:02  jenslody

svn7500:  * Autoversioning plugin: added comment and the correct
	  message for last commit (7499): don't try to read from version.h,
	  if autoversioning is not enabled, so we avoid error-messages if
	  verbose logging is enabled

2011-10-17 10:18  jenslody

svn7499:  Merge branch 'diverse'

2011-10-16 18:55  jenslody

svn7498:  * remove version-numbers from two xrc-files, to avoid
	  warnings if additional debug-logging is turned on

2011-10-16 18:48  jenslody

svn7497:  * add option to set wxLog::EnableLogging() to true via
	  commandline; default is off.

2011-10-13 22:47  tpetrov

svn7496:  * openfilelist: Implement correctly the OnRelease
	  method

2011-10-10 07:14  mortenmacfly

svn7494:  - NassiShneiderman plugin: linker switch build fix
	  (thanks Alpha)

2011-10-09 12:30  mortenmacfly

svn7492:  * pumped (wx)Scintilla to v2.2.9 and merged relevant
	  changes of wxSTC from wxWidgets trunk

2011-10-09 12:13  mortenmacfly

svn7489:  * a few build fixes for Windows project file related to
	  GCC 4.6.x (strange that some of these didn't happen in 4.5.x)

2011-10-09 07:55  jenslody

svn7486:  * doxyblocks-plugin: fix incorrect size of
	  toolbar-icon, was 32x32 instead of 22x22

2011-10-04 13:00  danselmi

svn7477:  - SpellChecker plugin: fixed crash when disabling
	  twice. See here:
	  http://forums.codeblocks.org/index.php/topic,15263.msg102446.html#msg102446

2011-10-04 09:44  danselmi

svn7476:  - SpellChecker plugin: use the appropriate macro for
	  strings (translated/literal)

2011-09-28 18:28  mortenmacfly

svn7472:  * implemented $REMOVE_QUOTES{} macro which removes
	  quotes around certain (any) strings, usage: $REMOVE_QUOTES{"A
	  STRING IN QUOTES"}

2011-09-28 18:24  tpetrov

svn7471:  * CC: Fixed a deadlock in OnGotoDeclaration; Showing a
	  modal dialog while holding a lock is a bad idea, because wx event
	  can be sent and thus could cause a dead-lock (taking the lock
	  from the same thread).

2011-09-28 11:55  jenslody

svn7470:  * compiler-plugin: some changes to
	  advancedcompileroptionsdlg.cpp, so deleting and resetting regexes
	  should now work correctly

2011-09-28 11:54  jenslody

svn7469:  * compiler-plugin: fix compiler-notes -regex for newer
	  compilers, moved preprocessor-error-regex some lines down, so
	  there is no collision with "new" compiler notes; see
	  http://forums.codeblocks.org/index.php/topic,15306.msg102742.html#msg102742

2011-09-27 05:08  jenslody

svn7468:  * reverted tons of accidently changed files, that
	  slipped in in last commit

2011-09-26 23:04  jenslody

svn7467:  * fix a bug (missing semicolon), that prevents
	  output-parsing-regexes from being reset to defaults

2011-09-26 15:45  mortenmacfly

svn7466:  - fixed spelling mistake in documentation

2011-09-25 20:43  jenslody

svn7462:  * corrected last commit (7461), because it works with
	  "old" info messages (just the line number), but shows the column
	  as message with newer gcc-compilers; added compiler-warning and
	  -error regexes for newer gcc-compilers (with line number and
	  column)

2011-09-25 19:41  killerbot

svn7461:  * compiler errors : instantiated from here : added
	  ([0-9]+): could be this should be made optionale (aka 0 or 1
	  time) (let's see what happens)

2011-09-22 11:02  jenslody

svn7460:  * Fix an issue introduced with commit 7307, reported
	  here:
	  http://forums.codeblocks.org/index.php/topic,15023.msg101286.html#msg101286
	  and other threads

2011-09-21 08:18  tpetrov

svn7459:  - CC: made the option 'Headers code-completion' on by
	  default

2011-09-17 23:17  tpetrov

svn7456:  * Added information about the SDK version to the
	  Help->About dialog

2011-09-13 23:19  tpetrov

svn7451:  - more 'make dist' fixes, now 'make dist' should work
	  for all contrib plugins

2011-09-13 11:06  tpetrov

svn7450:  - fixed a misspelling

2011-09-13 11:06  tpetrov

svn7449:  - added cbstatusbar.h to the includes, this fixes the
	  'make dist'

2011-09-11 13:45  tpetrov

svn7448:  - ThreadSearch: use the old dir, for the initial dir of
	  the wxDirDialog, when clicking on the '...' button

2011-09-02 17:40  jenslody

svn7447:  * codecompletion-plugin: fix build-error introduced
	  with commit 7446

2011-09-02 09:57  mortenmacfly

svn7446:  * fixed critical crash bug in CC plugin

2011-09-02 08:59  jenslody

svn7445:  * windows: workaround for a wxWidgets bug, that occurs
	  if additional monitors are (dis)connected, see here:
	  http://forums.codeblocks.org/index.php/topic,15138.msg102025.html#msg102025
	  for details

2011-09-01 16:29  mortenmacfly

svn7443:  - massive mixed EOL style cleanup + setting SVN EOL
	  property accordingly to avoid such mess in the future

2011-09-01 15:28  mortenmacfly

svn7442:  - added some more documentation, corrected order of
	  headers

2011-08-31 20:51  jenslody

svn7441:  * use all alphanumeric-characters to parse
	  compiler-output with regex, not only ascii-characters; also allow
	  the ampersand (&) there; see:
	  http://forums.codeblocks.org/index.php/topic,15197.msg101963.html#msg101963
	  and following posts

2011-08-30 14:52  loaden

svn7440:  * CC: Add macro replacement for GCC 4.6.x

2011-08-29 14:34  loaden

svn7439:  * CC: Add a new method for open debugging information
	  window

2011-08-29 11:21  loaden

svn7438:  * CC: Fix goto declaration failed of class

2011-08-28 04:32  loaden

svn7435:  - CC: Revert rev7422, fixed code refectoring bug

2011-08-28 04:31  loaden

svn7434:  * CC: Improve thread lock (part-6)

2011-08-28 04:31  loaden

svn7433:  * CC: Fixed a logic error

2011-08-28 04:31  loaden

svn7432:  * CC: Improve thread lock (part-5)

2011-08-28 04:30  loaden

svn7431:  *CC: Fixed crash candidate

2011-08-28 04:30  loaden

svn7430:  * CC: Improve thread lock (part-4)

2011-08-28 04:30  loaden

svn7429:  * CC: Improve thread lock (part-3)

2011-08-28 04:29  loaden

svn7428:  * CC: Improve thread lock (part-2)

2011-08-28 04:29  loaden

svn7427:  * CC: Improve thread lock (part-1)

2011-08-27 15:36  loaden

svn7426:  - CC: Build fix

2011-08-27 15:23  loaden

svn7425:  * CC: Fix deadlock of CCDebugInfo

2011-08-27 15:22  loaden

svn7424:  * CC: Code refactoring

2011-08-27 15:22  loaden

svn7423:  * CC: ParseBuffer: Index of parent token should be used
	  instead of pointers

2011-08-27 15:21  loaden

svn7422:  * CC: Leave enough freedom index, and make sure FIFO

2011-08-27 15:21  loaden

svn7421:  - CC: Code clean

2011-08-26 06:09  loaden

svn7419:  * CC: Rewrite thread lock of TokensTree again

2011-08-25 08:29  tpetrov

svn7418:  - noPCH build fixes

2011-08-25 06:41  mortenmacfly

svn7415:  * make "backslashed" strings work in RTF exporter

2011-08-25 06:24  mortenmacfly

svn7414:  * added support for macros in SpellChecker plugin
	  (thanks danselmi)

2011-08-25 05:46  loaden

svn7413:  * CC: Fix a deadlock when update symbols browser view

2011-08-24 18:55  tpetrov

svn7411:  * editor: added per language override for the 'use
	  tabs' setting. Currently only for python (always use spaces) and
	  Makefiles (always use tabs)

2011-08-24 18:50  tpetrov

svn7410:  - ThreadSearch: call EnsureVisible for the delimiter
	  line, when doing multiple searches - this improves the feedback,
	  when the first result is not found immediately

2011-08-24 18:45  tpetrov

svn7409:  * ThreadSearch: Pressing the enter key starts a search,
	  when the focus is in the two text controls in 'Directory
	  parameters' panel

2011-08-24 13:10  loaden

svn7408:  * CC: Fix a deallock occurred at the beginning of
	  parsing

2011-08-23 23:13  tpetrov

svn7407:  * ThreadSearch:
	  Ensure visible the "Search complete" line;
	  Auto size the columns at the end of the search;

2011-08-22 18:45  tpetrov

svn7406:  - AutoVersioning: fixed some warnings (thanks stahta01)

2011-08-21 17:09  loaden

svn7404:  - Build fix

2011-08-21 17:04  loaden

svn7403:  - CC: Add more debug log for thread lock tracker

2011-08-21 16:01  tpetrov

svn7402:  * ThreadSearch:
	  * Ensure visible the marker line and the first few matches on a
	  new search, when the option 'Delete previous results' is
	  disabled;
	  * Print the number of results at the end of the search; If there
	  are too many items (more than visible in the list control) show
	  an InfoWindow notification;

2011-08-21 15:53  loaden

svn7401:  * CC: Add thread lock tracker

2011-08-21 15:52  loaden

svn7400:  * CC: Fix a deadlock of CCDebugInfo class

2011-08-21 15:52  loaden

svn7399:  * CC: Improve debug macro

2011-08-18 05:47  killerbot

svn7395:  SpellChecker : do no check escapes, edit personal
	  dictionary

2011-08-17 20:17  killerbot

svn7393:  allow to disable spellchecker

2011-08-17 07:04  loaden

svn7392:  * CC: Improve the token of the position to jump

2011-08-16 23:09  loaden

svn7391:  * CC: Fix bug of goto function failed

2011-08-16 00:20  tpetrov

svn7390:  - made dependencies dialog resizable

2011-08-15 02:34  loaden

svn7384:  * CC: Fix parsing type update

2011-08-14 12:05  loaden

svn7383:  * CC: (hopefully) Final fix the still parsing bug

2011-08-14 11:45  loaden

svn7382:  * CC: Fix bug of parser switch from editor activated

2011-08-14 11:44  loaden

svn7381:  * CC: Code refactoring

2011-08-13 13:52  loaden

svn7376:  - CC: Build fix for ParserTest

2011-08-13 13:51  loaden

svn7375:  * CC: Improve Parser interface

2011-08-13 09:17  loaden

svn7374:  * CC: Improve toolbar refresh

2011-08-13 09:15  mortenmacfly

svn7373:  * applied patch #3183 to fix bug #018223 (thanks
	  deadok)

2011-08-13 09:14  mortenmacfly

svn7372:  * applied patch #3188 to fix bug #18205 (thanks
	  wilk_gardariki)

2011-08-13 08:00  loaden

svn7371:  * CC: Fix add file to parser failed, add more debug log

2011-08-12 19:32  jenslody

svn7369:  * fix a bug in automake system, that can make configure
	  fail in some cases; see
	  http://forums.codeblocks.org/index.php/topic,11307.msg101310.html#msg101310
	  for details

2011-08-11 20:53  jenslody

svn7367:  * compiler-plugin: fix a bug, that occurs for custom
	  makefiles with relative paths: a click on an errormessages does
	  not jump to the errorfile / -line, because of incorrect generated
	  filenames, see:
	  http://forums.codeblocks.org/index.php/topic,15122.msg101237.html#msg101237

2011-08-10 19:23  jenslody

svn7361:  * codecompletion-plugin: fix deadlock

2011-08-10 15:15  loaden

svn7360:  - CC: Add some comments for CodeCompletion class, thank
	  ollydbg!

2011-08-10 11:19  mortenmacfly

svn7359:  - highlight, that "Batch build" is actually a
	  Code::Blocks window

2011-08-10 07:05  mortenmacfly

svn7358:  * massively increase startup time for batch builds
	  (especially for large projects)

2011-08-10 03:55  loaden

svn7357:  * CC: Improve batch-parsing

2011-08-10 03:55  loaden

svn7356:  * SDK: Enhance the performance of load files

2011-08-10 03:55  loaden

svn7355:  * CC: Improve one parser per whole workspace, fix
	  project info update

2011-08-10 03:54  loaden

svn7354:  * CC: Improve Parser event handler

2011-08-10 03:53  loaden

svn7353:  * CC: Fix reparse bug, some code optimization,
	  refactoring

2011-08-10 03:53  loaden

svn7352:  * CC: Simplify Parser interface

2011-08-10 03:52  loaden

svn7351:  * CC: Improve file reparsing when editor save or
	  modified

2011-08-10 03:52  loaden

svn7350:  - CC: Code clean for wxTimer

2011-08-10 03:51  loaden

svn7349:  * CC: Add missing locker

2011-08-10 03:50  loaden

svn7348:  - CC: Code refactoring

2011-08-09 11:26  killerbot

svn7347:  DoxyBlocks : fix directory creation bug

2011-08-09 09:39  loaden

svn7346:  - CC: Add more debug log

2011-08-09 09:39  loaden

svn7345:  * CC: Fix switch parser failed when close a project
	  from workspace

2011-08-09 09:38  loaden

svn7344:  * CC: Fix range error of function arguments search

2011-08-09 09:19  jenslody

svn7343:  * windows only: do not focus notebook-tabs, if
	  tab-scrolling with mousewheel is disabled; fixes issue described
	  here:
	  http://forums.codeblocks.org/index.php?PHPSESSID=vor9k3gevlm8o3ik30km9c5bq4&topic=15088.msg101005#msg101005

2011-08-08 20:10  mortenmacfly

svn7341:  * added path 8.3 notation workaround for all include
	  directories on windows (for stupid compilers, like e.g. the MinGW
	  resource compiler)
	  * simplified interface to process include paths for (resource-)
	  compiler, linker etc...
	  * MinGW resource compiler command now complies with what's
	  suggested here:
	  http://sourceforge.net/tracker/index.php?func=detail&aid=1640385&group_id=2435&atid=102435
	  * make (resource-) compiler and linker includes unique to avoid
	  duplicate paths (and thus shrink command line)
	  - added debugging facility for command line generation (needs to
	  be explicitly compiled in using a #define
	  command_line_generation)
	  - succesfully -> successfully

2011-08-08 08:12  loaden

svn7340:  * CC: Improve class browser update

2011-08-08 05:55  loaden

svn7339:  * CC: Sending asynchronous message for toolbar
	  (OnScope)

2011-08-08 05:01  loaden

svn7338:  * SDK: Sends cbEVT_THREADTASK_ALLDONE message only the
	  real task is all done

2011-08-08 04:00  loaden

svn7337:  * CC: Do not follow any includes if parsing a buffer

2011-08-08 04:00  loaden

svn7336:  * CC: Code refactoring for Parser class

2011-08-07 15:04  loaden

svn7335:  - CC: Code refactoring

2011-08-07 14:14  loaden

svn7334:  * CC: Add CCLogger module

2011-08-07 07:37  loaden

svn7333:  * CC: Code clean

2011-08-06 10:45  loaden

svn7332:  * CC: Removing unwanted code of TokenTree::RecalcData

2011-08-06 10:44  loaden

svn7331:  * CC: Fixed crash candidate

2011-08-06 10:44  loaden

svn7330:  * CC: Fix bug of batch parsing

2011-08-06 07:11  killerbot

svn7329:  -pch fix

2011-08-05 13:34  mortenmacfly

svn7328:  - some more SVN property settings (Id, Author...) for
	  *.c; *.cpp; *.h; *.cbp; *.rc files

2011-08-05 10:00  jenslody

svn7327:  * added libhunspell-dev to debian build-dependencies
	  (needed for spellchecker); partially reformat debian control
	  file; missing part of comment for last commit: svn:kewords have
	  been added to spellchecker-files

2011-08-05 09:48  jenslody

svn7326:  spellchecker-plugin:

2011-08-05 09:10  jenslody

svn7325:  * spellchecker-plugin: more linux build-fixes,
	  integration in automake-system and debian build-system; NOTE:
	  spec-files (rpm) not adjusted

2011-08-04 11:18  jenslody

svn7324:  * spellchecker-plugin: first linux build-fixes; NOTE:
	  hunspell development packages are needed to build on linux

2011-08-04 11:12  jenslody

svn7323:  * codecompletion-plugin: fix a bug that leads to a
	  crash if "Settings->Editor" dialog is openened

2011-08-04 05:13  mortenmacfly

svn7322:  - SpellChecker: case sensitive path fix for Linux

2011-08-04 05:07  mortenmacfly

svn7321:  * added SpellChecker plugin by danselmi to main repo,
	  adjusted C::B based build system (Linux build system missing)

2011-08-03 11:27  loaden

svn7320:  * CC: Fix crash after reread parser options
	  * CC: Fix a serious logic error

2011-08-03 11:26  loaden

svn7319:  * CC: Improve parser events handler

2011-08-03 11:26  loaden

svn7318:  * SDK: Add cbThreadPool::GetId() interface

2011-08-03 11:26  loaden

svn7317:  * CC: Improve events handler

2011-08-03 06:34  loaden

svn7316:  - Build fix

2011-08-03 04:53  mortenmacfly

svn7315:  - better style and spelling for CC messages

2011-08-03 03:45  loaden

svn7314:  * CC: Can't support to view all local symbols
	  (workspace) when one parser per project

2011-08-03 03:45  loaden

svn7313:  * CC: Fix bug of class browser when using parser per
	  whole workspace

2011-08-03 03:45  loaden

svn7312:  * CC: Improve CC's option page

2011-08-02 19:53  tpetrov

svn7310:  - NoPCH build fix (related to r7307)

2011-08-02 14:25  loaden

svn7309:  * CC: Add new option for headers code-completion

2011-08-02 09:53  loaden

svn7308:  * CC: Add some comments, thank ollydbg!

2011-08-02 04:24  loaden

svn7307:  * SDK: Added validity test when calculate common top
	  level path, see
	  http://forums.codeblocks.org/index.php/topic,15023.msg100822.html#msg100822
	  for more details

2011-08-01 17:14  loaden

svn7306:  * CC: Improve build locker for class browser

2011-08-01 17:14  loaden

svn7305:  * CC: Redesign thread-locker for class browser

2011-08-01 17:13  loaden

svn7304:  * CC: Fix crash when expand the base classes in the
	  tree

2011-08-01 17:13  loaden

svn7303:  * CC: Fix an deadlock, thank Jens!

2011-08-01 17:12  loaden

svn7302:  * CC: Fix an invalid lock

2011-08-01 17:12  loaden

svn7301:  * CC: Code refactoring

2011-07-28 04:39  mortenmacfly

svn7300:  * fixed crash candidate in cbEditor
	  - some tiny spelling/formatting corrections

2011-07-27 17:54  jenslody

svn7299:  * refinements/fixes for commit 7298: add the option to
	  invert scrolling direction for advance through tabs and move
	  tabs; read the settings from configmanager if a new notebook is
	  created

2011-07-26 23:28  tpetrov

svn7298:  * cbAuiNotebook: add option to invert the scrolling
	  direction

2011-07-26 22:31  tpetrov

svn7297:  * NoPCH build fixes (no it should compile fine)

2011-07-26 18:01  jenslody

svn7296:  * automake-system: only use debug-flag, if it is
	  explicitely enabled, do not use optimization-flags for
	  debug-version; see
	  http://forums.codeblocks.org/index.php/topic,14868.msg99997.html#msg99997
	  for details

2011-07-26 17:55  jenslody

svn7295:  * make sure pch's are built in correct order, should
	  fix some pch-related compile-issues (if sdk-header have changed).
	  see
	  http://forums.codeblocks.org/index.php/topic,14868.msg99987.html#msg99987
	  for details

2011-07-26 12:52  tpetrov

svn7294:  * NoPCH build fixes (more fixes are required)

2011-07-26 11:50  tpetrov

svn7293:  * ThreadSearch: made the list view to use the same font
	  as the loggers (the default font is too big on my Centos)

2011-07-25 19:26  jenslody

svn7292:  * wxSmith-plugin: build-fix

2011-07-25 08:12  mortenmacfly

svn7291:  * pumped (wx)Scintilla to v2.2.7

2011-07-25 08:11  mortenmacfly

svn7290:  - limited line length in C++ lexer to 512 (no
	  functional change). Please keep it that way.

2011-07-19 11:24  loaden

svn7288:  * CC: Fix a hang when find token matches

2011-07-17 00:08  loaden

svn7287:  Add git ignore list only for devel branch

2011-07-14 05:44  loaden

svn7286:  * CC: Fix a hang on Linux

2011-07-14 05:23  loaden

svn7285:  * CC: Fix a fatal mistake, thank ollydbg!

2011-07-12 16:26  thomasdenk

svn7284:  - Added atomic types to c++ lexter

2011-07-09 15:53  thomasdenk

svn7282:  - Added missing constant iterators to C++ lexer

2011-07-08 10:03  loaden

svn7281:  * CC: Compatible with GCC4.6.1

2011-07-04 11:14  loaden

svn7280:  * CC: Force start batch parsing if needed

2011-07-04 11:13  loaden

svn7279:  Revert rev7228

2011-07-04 11:09  loaden

svn7278:  Add git ignore list only for devel branch

2011-07-03 13:14  mortenmacfly

svn7275:  * CC: (hopefully) fixed a crash candidate in case
	  re-parsing is required due to changing CC settings

2011-07-03 12:37  mortenmacfly

svn7274:  * CC always show reason for the message "Parser is
	  still parsing files" in the debug log

2011-07-03 11:46  mortenmacfly

svn7273:  * CC: show some more information to the user to hunt CC
	  bugs
	  - CC: remove long-time obsolete functions to save a CC cache

2011-07-02 01:25  loaden

svn7272:  * CC: Improve add file to parser

2011-07-01 14:48  loaden

svn7271:  * CC: Redesign one parser for whole workspace

2011-07-01 12:42  loaden

svn7270:  * CC: Fix "still parsing" bug when use one parser for
	  whole workspace

2011-07-01 12:41  loaden

svn7269:  * CC: Rewrite batch parsing

2011-07-01 03:00  loaden

svn7268:  * CC:Improve parser event handle

2011-07-01 02:55  loaden

svn7267:  * Apply Patch for NON PCH Build, thank stahta01

2011-06-30 16:15  mortenmacfly

svn7265:  * corrected issues (erroneously combined key words) in
	  C++ lexer of r7264
	  - limited length of a single line to 512 in the lexer to avoid
	  truncation by foreign editors

2011-06-30 11:17  thomasdenk

svn7264:  - Updated C++ lexer to be yet more complete with STL
	  and C++0x

2011-06-30 09:01  thomasdenk

svn7263:  - Updated C++ lexers to C++0x proposal (... again? was
	  that not done in 11/2008?)

2011-06-28 08:38  loaden

svn7259:  * CC: Fix inheritance calculation, thank ollydbg, see
	  http://forums.codeblocks.org/index.php/topic,14167.0.html for
	  details

2011-06-26 12:20  loaden

svn7255:  * CC: fix an hang when use one parser for whole
	  workspace

2011-06-24 05:01  mortenmacfly

svn7252:  * compilation fix for astyle plugin

2011-06-23 10:01  mortenmacfly

svn7251:  * make CScope plugin work in a path with spaces

2011-06-23 09:58  mortenmacfly

svn7250:  * updated AStyle plugin so that all options are
	  available as needed (following AStyle 2.02 reference)

2011-06-20 18:20  tpetrov

svn7249:  - fixed no PCH build

2011-06-20 16:13  loaden

svn7248:  * CC: Improve GetGCCCompilerDirs function, fix possible
	  candidate hang

2011-06-20 15:32  loaden

svn7247:  * CC: Improve first full parsing

2011-06-20 15:32  loaden

svn7246:  * CC: Improve AddCompilerPredefinedMacros function

2011-06-17 23:58  tpetrov

svn7244:  - thread_search: made r7237 work on windows (for some
	  reason the call "item.SetMask(wxLIST_MASK_TEXT);" is not needed
	  on linux :();

2011-06-17 15:58  killerbot

svn7243:  pch fix

2011-06-17 08:08  tpetrov

svn7241:  essage=\- thread_search: fixed the compilation on
	  windows, broken in r7237, sorting still doesn't work on windows:(

2011-06-17 01:35  tpetrov

svn7240:  * don't start dwell if the mouse was moved or the
	  application was deactivated; this should fix bug #17745 (
	  http://forums.codeblocks.org/index.php/topic,13763.0.html )

2011-06-17 01:25  tpetrov

svn7239:  - reorder a bit the project menu: now the items "Build
	  options" and "Properties..." are next to each other;
	  - made the "Reparse this project" to be above the "Build" item if
	  present; in the old version it was highly dependent on the order
	  of loading plugins;

2011-06-17 00:34  tpetrov

svn7238:  * thread_search: add menu item to focus the thread
	  search entry box in the list view, useful if the user wants to
	  assign a key shortcut for thread searching;

2011-06-16 23:27  tpetrov

svn7237:  * thread_search: support sorting of the list control

2011-06-16 22:59  tpetrov

svn7236:  - added a combined Project/Workspace filter in the file
	  open dialog, now this entry is the default (this way it is harder
	  to skip a workspace file in the same directory as a project file)

2011-06-16 22:54  tpetrov

svn7235:  - added brace indent for the Lua landuage, also
	  refactored the code a bit

2011-06-15 08:54  loaden

svn7231:  * Increase SDK version number

2011-06-15 08:54  loaden

svn7230:  * CC: Improved parser event sending

2011-06-13 16:42  killerbot

svn7228:  - remove compiler warning

2011-06-13 16:06  killerbot

svn7227:  - 5th batch of include fixes (broken due to rev 7220)

2011-06-13 15:58  killerbot

svn7226:  - 4th batch of include fixes (broken due to rev 7220)

2011-06-13 15:16  killerbot

svn7225:  - third batch of include fixes (broken due to rev 7220)

2011-06-13 15:12  killerbot

svn7224:  - second batch of include fixes (broken due to rev
	  7220)

2011-06-13 14:50  killerbot

svn7223:  - update project file due to extra include paths of
	  envars

2011-06-13 14:37  killerbot

svn7222:  - first batch of include fixes (broken due to rev 7220)

2011-06-13 11:13  loaden

svn7220:  * Remove all memory pool reference, In currently,
	  memory pool is not thread-safe
	  - At least in Linux 64bit can avoid a crash when quit CB
	  sometimes.
	  - I can't find any reason to keep a memory pool here.

2011-06-13 10:04  mortenmacfly

svn7218:  * evvars plugin: exposed the following functions to
	  scripting: EnvvarGetEnvvarSetNames, EnvvarGetActiveSetName,
	  * EnvVarGetEnvvarsBySetPath, EnvvarSetExists, EnvvarSetApply,
	  EnvvarSetDiscard, EnvvarApply, EnvvarDiscard
	  * (This was a long outstanding wish from the community. Happy
	  scripting!)

2011-06-13 04:07  loaden

svn7217:  * CC: Increase parsing thread stack size

2011-06-13 03:20  loaden

svn7216:  * Add stack size argument for thread pool

2011-06-12 19:17  jenslody

svn7215:  * (hopefully) fix a bug, where files are not correctly
	  opened, if an 'undefined references'-error occurs during linking,
	  see: http://forums.codeblocks.org/index.php/topic,14562.0.html
	  and http://forums.codeblocks.org/index.php/topic,14855.msg

2011-06-12 13:10  mortenmacfly

svn7214:  * compilers: make extra paths not appear multiple times
	  in the list (thus, remove duplicates)
	  - some code-cleanup
	  - CC parser: removed headers included twice

2011-06-12 13:09  mortenmacfly

svn7213:  * added global function to make a wxArrayString array
	  unique in it's elements (case sensitive/insensitive)
	  * make C::B correctly detect the Windows version up to Win7
	  - some code clean-up

2011-06-12 12:09  mortenmacfly

svn7212:  * cb_share_config: added "abbreviations"
	  ("auto-complete"), "mime types" and "default code" to backup
	  options

2011-06-12 11:42  mortenmacfly

svn7211:  * fixed bug in "file groups and masks" that the file
	  mask list grows endlessly - thus making the config file huge over
	  time
	  - note: you'll need to enter "file types and masks" from the
	  project tree one time and apply the settings with OK for a
	  clean-up

2011-06-12 09:50  mortenmacfly

svn7210:  - some more tiny code-cleanup

2011-06-12 09:48  mortenmacfly

svn7209:  * advanced compiler options: added new option "use 8.3
	  path notation for windres" (Windows only, by default enabled for
	  Cygwin and MinGW compiler)
	  * this is a workaround for the fact that windres cannot handle
	  include path switches with spaces in the path correctly even if
	  they are quoted
	  - some code-cleanup in compiler plugin

2011-06-12 09:42  mortenmacfly

svn7208:  * projectmanager: do not rebuild the tree if app is
	  shutting down (not needed and massively saves time on shutdown)
	  * projectmanager: verify the treeitem selection to be OK (as
	  stated in the wx docs this should be done!)

2011-06-12 09:41  mortenmacfly

svn7207:  * multiselectdlg: do not ask to un-select previous
	  selections if there are none

2011-06-12 09:40  mortenmacfly

svn7206:  * partial merge from debugger branch: added
	  PLUGIN_LOADING_COMPLETE event to SDK

2011-06-12 07:54  mortenmacfly

svn7205:  - updated project file to include all scripts and XRC
	  files for scripted wizard plugin

2011-06-12 00:57  loaden

svn7204:  * CC: Fix hang occured open an workspace through from
	  open menu (Linux x64bit)

2011-06-12 00:57  loaden

svn7203:  * CC: No critical section needed in the Parser's dtor
	  now

2011-06-11 23:50  loaden

svn7202:  * CC: Remove all RAII object on the heap

2011-06-11 19:56  mortenmacfly

svn7201:  * added $TO_83_PATH macro to concert absolute paths
	  into 8.3 notation (Windows only), similar to $TO_ABSOLUTE_PATH
	  * added $GET_DATA_DIR macro to compiler/linker options that maps
	  to the wxWidgets wxStandardPaths/GetDataDir function
	  * added $GET_LOCAL_DATA_DIR, $GET_DOCUMENTS_DIR, $GET_CONFIG_DIR,
	  $GET_USER_CONFIG_DIR (accordingly)
	  * added $GET_USER_DATA_DIR, $GET_USER_LOCAL_DATA_DIR,
	  $GET_TEMP_DIR (accordingly)

2011-06-11 05:14  loaden

svn7196:  - Build warning avoid

2011-06-11 03:43  loaden

svn7195:  * CC: Build fix

2011-06-11 03:42  loaden

svn7194:  - PCH build fix

2011-06-11 03:42  loaden

svn7193:  CC: Add a missed locker

2011-06-11 00:01  loaden

svn7192:  CC: Fix hang on linux

2011-06-10 12:25  loaden

svn7191:  CC: Re-designed the TokensTree locker for solve CC
	  crash

2011-06-09 08:57  tpetrov

svn7189:  - noPCH build fix

2011-06-09 01:51  loaden

svn7188:  CC: Fix function arguments parsing error, function
	  overloading related

2011-06-09 01:50  loaden

svn7187:  CC: Add locker for ParseBuffer function

2011-06-08 12:11  loaden

svn7186:  CC: Redesign ParserThread locker

2011-06-04 17:07  jenslody

svn7183:  * make sure textcontrol is initiallly focused in
	  editpath-dialog (see
	  http://forums.codeblocks.org/index.php/topic,14810.msg99247.html#msg99247).

2011-06-03 09:16  loaden

svn7180:  CC: Fix parser error when use bitfield

2011-06-03 08:22  killerbot

svn7179:  *fix regex to be not to gready

2011-05-30 09:26  loaden

svn7178:  CC: Revert rev7176, thanks ollydbg!

2011-05-29 07:35  loaden

svn7177:  CC: Code clean up

2011-05-29 07:34  loaden

svn7176:  CC: After add a variable token, we should skip to
	  semicolon

2011-05-28 17:35  killerbot

svn7175:  * added $TO_ABSOLUTE_PATH macro, can be used for
	  compiler/linkeroptions to turn relative paths into absolute paths

2011-05-28 07:13  jenslody

svn7174:  * astyle-plugin: fixes for Makefile.am

2011-05-27 10:04  loaden

svn7168:  CC: Fix the wrong defined of debug log macros

2011-05-27 10:03  loaden

svn7167:  CC: Remove the ParserThread critical section, its a bad
	  design!!

2011-05-27 10:02  loaden

svn7166:  CC: Code refactoring

2011-05-27 10:01  loaden

svn7165:  CC: Add more debug log for first full parsing

2011-05-26 19:38  mortenmacfly

svn7164:  - fixed a few missing includes

2011-05-26 09:25  loaden

svn7163:  CC: Add some debug log for Tokenizer

2011-05-26 04:23  loaden

svn7162:  CC: Improve debug macros

2011-05-26 04:22  loaden

svn7161:  CC: Fixed bug when get compiler pre-defined macros

2011-05-26 04:22  loaden

svn7160:  CC: Issue fixed on first complete parsing

2011-05-25 15:01  loaden

svn7159:  CC: Fix parsing failed when using MinGW-x64 GCC
	  compiler

2011-05-22 15:25  mortenmacfly

svn7157:  * CC: renamed "up-front" into "priority" for better
	  understanding
	  * CC: massively updated testing tool to allow to parse into
	  includes
	  - CC: code-cleanup

2011-05-22 08:15  mortenmacfly

svn7156:  * pumped astyle plugin to "Artistic Style v2.02"
	  (missing: allow access to new features via UI)

2011-05-22 08:14  mortenmacfly

svn7155:  - doxyblocks: another tiny correction of the Linux
	  project file

2011-05-21 15:53  jenslody

svn7154:  * DoxyBlocks-plugin: automake build-fix

2011-05-21 09:39  mortenmacfly

svn7153:  - doxyblocks: disable the use of PCH as it actually
	  extends the compile time massively
	  - doxyblocks: align sources a little for different editor
	  settings concerning tab/spaces
	  - doxyblocks: for both: *no* functional changes!

2011-05-19 06:21  mortenmacfly

svn7152:  - tiny update on the Linux project file

2011-05-19 06:15  mortenmacfly

svn7151:  * updated the Matlab C-S-Function wizard to be
	  cross-platform (available under Linux)

2011-05-19 05:20  mortenmacfly

svn7150:  * pumped wxPropGrid to v1.4.16 (pre-release, but fixing
	  a bug)

2011-05-19 05:11  mortenmacfly

svn7149:  - CC: allow settings debug macros via command line,
	  introduce global "debug all"l (CC_GLOBAL_DEBUG_OUTPUT) macro

2011-05-19 05:09  mortenmacfly

svn7148:  * pumped TinyXML to v2.6.2

2011-05-13 07:55  mortenmacfly

svn7142:  * todo list: fixed bug, that no "allowed types" are
	  selected initially
	  * todo list: issue a warning, if no types are selected when
	  refreshing the view

2011-05-07 13:50  mortenmacfly

svn7141:  * fixed target option "auto-generate prefix" not
	  working for dynamic libraries on Linux (breaking build); ToDo:
	  what about Mac?!

2011-05-07 10:24  mortenmacfly

svn7137:  * editormanager: fixed crash candidate
	  - code style, alignment, extra spaces... (no functional changes)

2011-05-07 10:23  mortenmacfly

svn7136:  - CBLauncher: standardised project file

2011-05-07 10:22  mortenmacfly

svn7135:  * CC: re-worked options dialog, fixed tiny crash
	  candidate

2011-05-07 10:21  mortenmacfly

svn7134:  - Addr2LineUI: removed PCH usage (not needed, actually
	  increases compile time)

2011-05-07 09:54  mortenmacfly

svn7133:  - tab2space for alignment in editor

2011-05-06 20:29  tpetrov

svn7132:  - sort the Environment entries in the project files
	  (less unnecessary changes to the files in different OSes)

2011-05-01 20:57  tpetrov

svn7131:  - fixed the DIE() macro to not kill the parent C::B
	  instance, when the debugger is not used

2011-04-30 16:01  tpetrov

svn7130:  - fixed compilation with GCC 4.6.0 (tested on Arch
	  Linux)

2011-04-26 08:20  killerbot

svn7128:  - fixed a crash : virtual targets -> target will be 0
	  pointer

2011-04-25 18:26  killerbot

svn7127:  * expand macros in include dirs in cppcheck plugin

2011-04-24 14:52  biplab

svn7126:  * Added: CBLauncher source to source tarball.

2011-04-24 14:28  biplab

svn7125:  * Added: CBLauncher to trunk (inside tools folder) -
	  Thanks to Codeur/Biplab. To build this, open project file inside
	  src/tools/CBLauncher folder.

2011-04-24 11:59  jenslody

svn7124:  * ToolsPlus-plugin: fix a possible crash (hard to track
	  down), see
	  http://www.parashift.com/c++-faq-lite/ctors.html#faq-10.14 for an
	  explanation

2011-04-24 11:54  jenslody

svn7123:  * linux build-fix; synchronizing some contrib-plugins
	  projectfiles on linux

2011-04-22 08:11  loaden

svn7122:  CC: Disable memory pool again, avoid possible crash

2011-04-18 07:32  mortenmacfly

svn7121:  * do not offer to re-load a file in batch build mode to
	  avoid user interaction (fixes bug #18007)

2011-04-17 00:13  tpetrov

svn7117:  - fixed printf warning (thanks stahta01)

2011-04-16 14:52  mortenmacfly

svn7114:  - added new feature "set/get update" for scintilla to
	  wxScintilla tom complete interface. (Patch provided by a forum
	  user I forgot. Sorry.)

2011-04-16 14:46  mortenmacfly

svn7113:  * added option to freely define import and def file
	  name for libraries, including (and by default) using macros
	  (based on patch #2937)
	  * NOTE: You may need to "reset" these options for you projects to
	  work properly.

2011-04-15 12:52  jenslody

svn7110:  * fix compilation error

2011-04-15 11:53  mortenmacfly

svn7109:  - SVN keywords update (final, now SVN code base is
	  consistent)

2011-04-15 11:29  mortenmacfly

svn7108:  - SVN keywords update (part 4)

2011-04-15 11:19  mortenmacfly

svn7107:  - SVN keywords update (part 3)

2011-04-15 11:06  mortenmacfly

svn7106:  - SVN keywords update (part 2)

2011-04-15 10:50  mortenmacfly

svn7105:  - SVN keywords update

2011-04-15 08:53  mortenmacfly

svn7104:  - SVN properties update

2011-04-15 08:47  mortenmacfly

svn7103:  - tiny clean-up in manager (no functional change)

2011-04-15 08:39  mortenmacfly

svn7102:  * CC: fixed bug #15112
	  * CC: fixed possible crash candidate (CC crashed at that position
	  for me several times at least)

2011-04-14 14:44  mortenmacfly

svn7101:  - tools/Addr2LineUI: remove useless PCH usage

2011-04-14 14:39  mortenmacfly

svn7100:  * adding "Addr2LineUI application to tools (Windows
	  only atm)

2011-04-13 04:49  mortenmacfly

svn7099:  - PCH fix

2011-04-11 13:26  loaden

svn7096:  CC: Improve the performance of functions DoParse

2011-04-11 04:35  loaden

svn7095:  Fixed a auto indent bug

2011-04-10 14:56  mortenmacfly

svn7091:  * inform the user if an invalid virtual target is tried
	  to be setup (failed silently before, making a checkbox value
	  magically re-appear)

2011-04-10 14:06  mortenmacfly

svn7090:  * CC: fix a crash candidate in case C::B is closed very
	  quickly after start-up

2011-04-10 12:58  mortenmacfly

svn7088:  - streamlining and synchronising of C::B project files
	  (C::B core and core plugins only)
	  - removed linintl hack for exchdl, as this will not work with
	  recent compilers (e.g. TDM 4.5.2): solution: dependency (link
	  against) on libintl

2011-04-10 09:59  mandrav

svn7085:  * Added wxSmith option to allow duplicate IDs (useful
	  to assign the same ID to a menuitem and a toolitem, for example)
	  * quote xdg-open argument in default mime handler

2011-04-09 09:57  tpetrov

svn7082:  * CC: made the current active parameter to be
	  highlighted correctly in the calltip; this feature was broken by
	  the pretty printer patch commited earlier;

2011-04-07 13:58  loaden

svn7079:  * SDK: Initialize a static member variable

2011-04-07 13:57  loaden

svn7078:  * SDK: fix a variable type error

2011-04-07 13:47  loaden

svn7077:  * CC: fix a variable type error

2011-04-05 22:33  tpetrov

svn7076:  - sort the wxChoice controls in the Global variables
	  dialog

2011-03-31 19:53  jenslody

svn7075:  * fix a possible crash in code-profiler, due to a
	  missing index-out-of-bounds-check when parsing the outpout of
	  gprof ( issue described here:
	  http://forums.codeblocks.org/index.php/topic,14450.msg97143.html#msg97143)

2011-03-28 21:21  jenslody

svn7074:  * fix a possible crash in wxSmithAui due to not
	  initialised bool property (see:
	  http://forums.codeblocks.org/index.php/topic,14358.msg96511.html#msg96511
	  )

2011-03-26 13:28  mortenmacfly

svn7071:  * codesnippets plugin: fixed compilation error (oops)

2011-03-26 09:59  mortenmacfly

svn7070:  * codesnippets plugin: fixed a (rare) crash
	  - codesnippets plugin: allow some translation

2011-03-24 08:09  tpetrov

svn7067:  - NoPCH build fix

2011-03-22 15:34  mortenmacfly

svn7064:  * pumped (wx)Scintilla to v2.25 (C++ lexer changed for
	  wxSmith portions)
	  * streamlined wxScintilla with wxSTC from wxWidgets trunk (v2.9)

2011-03-21 18:27  jenslody

svn7062:  * (hopefully) fix a possible crash in cbAuiNotebook on
	  windows

2011-03-20 19:24  tpetrov

svn7061:  - replaced cbMessageBox with AnnoyingDialog, when
	  deleting entries in the Recent files/projects list in the Start
	  page

2011-03-20 10:24  mortenmacfly

svn7060:  - updated SDK documentation generation (doxygen) file

2011-03-18 20:20  tpetrov

svn7059:  - moved the 'Close workspace' item at the end of the
	  context menu

2011-03-13 16:06  jenslody

svn7055:  * (hopefully) fixed an issue where the tab-style
	  sometimes was not correcly updated, if it was changed in the
	  settings

2011-03-13 15:52  jenslody

svn7054:  * accessibility enhancements: now the tab-control of
	  active notebook page gets the focus via "View -> Focus ...",
	  instead of the page itself; keyboard-navigation should work
	  better now

2011-03-13 12:06  jenslody

svn7053:  * fix button-size

2011-03-13 09:39  jenslody

svn7052:  * wxSmith-Aui: fix crash that occurred if the user
	  tried to add a wxAuiToolbar to a wxAuiToolbar

2011-03-12 13:24  mortenmacfly

svn7049:  * pumped wxPropGrid to v1.4.15
	  * CC: "Pretty Print" token only in case of container or function
	  - minor clean-ups and consistency changes (no functional changes)

2011-03-12 13:11  mortenmacfly

svn7048:  - source_exporter plugin: final clean-up and mark
	  modifications related to C::B in the sources

2011-03-12 10:50  ceniza

svn7047:  * Updated autotools and project file for Linux

2011-03-12 10:07  mortenmacfly

svn7046:  - source_exporter plugin: properly limit the build to
	  the library and plugin only (not via platforms, but virtual
	  target "All")

2011-03-12 08:29  ceniza

svn7045:  * Do not build extra programs of wxPdfDocument (samples
	  and makefont)
	  * Build wxPdfDocument as a static library
	  $ These updates only apply to Windows. Linux updates come next.

2011-03-11 21:02  mortenmacfly

svn7044:  * source_exporter plugin: removed artifacts (remaining
	  files from old version)

2011-03-11 20:56  mortenmacfly

svn7043:  * source_exporter plugin: pumped wxPDFDoc to most
	  recent version
	  * source_exporter plugin: changed static to dynamic library
	  - compilation under Linux is temporarily broken now until fixed
	  by Ceniza

2011-03-10 17:54  ceniza

svn7042:  * Replace tabs with spaces for all exporters

2011-03-06 11:42  mortenmacfly

svn7041:  * report missing external dependencies as a warning (as
	  this might be a wrong project / target setup probably)
	  - clarify "Nothing to be done" a little more to avoid forum noise
	  - some code clean-up

2011-03-04 19:50  mortenmacfly

svn7039:  - tiny unix project update

2011-03-02 10:28  mortenmacfly

svn7036:  - project file update/synch

2011-02-28 14:52  jenslody

svn7033:  * add missing autosave.xrc to C::B's project files.
	  Again thanks daniloz for reporting it !

2011-02-28 14:39  jenslody

svn7032:  * add missing abbreviationsconfigpanel.xrc to C::B's
	  project files. Thanks daniloz for reporting it !

2011-02-28 08:47  mortenmacfly

svn7031:  * fixed compilation error by adding missing doer
	  function (got lost on merge)

2011-02-28 07:56  mortenmacfly

svn7030:  * allow to hide the folder name in project tree (in
	  "flat view" mode)
	  * optimised SDK interface to project view (namely BuildTree in
	  cbProject)
	  * applied patch by oBFusCATed to reload project while keeping
	  dependencies
	  * fixed a crash candidate in CopyTreeNodeRecursively
	  - code /SDK optimisations (implemented a ToDo by mandrav)
	  - removed obsolete code

2011-02-28 07:36  jenslody

svn7029:  * fix a crash, if no editor is open and the environment
	  settings dialog is closed with OK (see:
	  http://forums.codeblocks.org/index.php/topic,14294.msg96148.html#msg96148
	  )

2011-02-24 18:02  pecan

svn7028:  CodeSnippets 1.3.120 2011/02/11
	  - Fix crash when double clicking previously opened snippet
	  - Copy fixes 5995 & 6000 from CB EditorManager to SEditormanager

2011-02-23 11:14  jenslody

svn7027:  * fix for bug #17950 (another case where a tabcontrol
	  did not correctly release mouse-capture in some cases)

2011-02-23 09:55  mortenmacfly

svn7026:  * applied patch #3127 (additional parts): several
	  enhancement, e.g. hard-coded doxygen directory name and directory
	  creation code

2011-02-22 16:46  mortenmacfly

svn7024:  * applied (modified) patch #2957: partial fix for bug
	  #14087

2011-02-22 16:36  mortenmacfly

svn7023:  * applied patch #2922: AutoVersion changes log GUI
	  sizing (includes patch #2940)

2011-02-22 16:06  mortenmacfly

svn7021:  * applied patch #2955 to fix bug #16671

2011-02-21 04:47  loaden

svn7018:  CC: add part 2 of one parser per whole workspace, see
	  http://forums.codeblocks.org/index.php/topic,14201.0.html for
	  more information

2011-02-19 13:39  jenslody

svn7015:  * better MinimizeSpace algorithm for cbAuiNotebook,
	  should fix the issue described here:
	  http://forums.codeblocks.org/index.php/topic,13826.msg95800.html#msg95800

2011-02-18 09:00  jenslody

svn7011:  * (hopefully) fix an issue with tab tooltips, where a
	  tooltip was shown, even if the tab was hiddden by an open menu
	  (see
	  http://forums.codeblocks.org/index.php/topic,13826.msg95754.html#msg95754
	  for details)

2011-02-15 11:46  loaden

svn7010:  * CC: fix a bug reported here:
	  http://forums.codeblocks.org/index.php/topic,14206.msg95611.html#msg95611

2011-02-14 14:04  loaden

svn7009:  * CC: fix bug about extern "C" parsing, thanks ollydbg!

2011-02-13 16:38  loaden

svn7006:  * Modify Rev6985: swap accelerator key, like eclipse

2011-02-13 14:01  dmoore

svn7004:  * ToolsPlus plugin: Added missing accelerator in
	  FindMenu call, removed trailing ';' from if statement (should fix
	  wildcard matching of multiple files when building context menu --
	  Thanks Morten) and (for readability) increased use of braces
	  (Thanks Morten)

2011-02-13 11:39  mortenmacfly

svn7003:  * applied patch #3066: add "clean workspace" menu entry
	  AND allow to add items to the workspace context menu

2011-02-13 11:27  mortenmacfly

svn7002:  * applied patch #3065: Context menu item to show file
	  in project tree

2011-02-13 11:20  mortenmacfly

svn7001:  - refresh tree item (icon) when modifying a file though
	  projectfileoptionsdlg, e.g. changing the read-only flag

2011-02-13 11:18  mortenmacfly

svn7000:  * applied patch #2980: patch for a Swap header/source
	  issue
	  * applied patch to allow plugins to modify the editor tab context
	  menu (as I have a use case for this), thanks GeO
	  -> USE WITH CARE, do not clutter this menu via plugins!!!

2011-02-13 10:57  mortenmacfly

svn6999:  * applied patch by daniloz to add focus events to
	  C::B's SDK (see
	  http://forums.codeblocks.org/index.php/topic,14150.0.html)

2011-02-13 10:54  mortenmacfly

svn6998:  * CC: accidentally forgotten to commit two more files
	  of last commit (related to pretty print tokens)

2011-02-13 10:52  mortenmacfly

svn6997:  * CC: apply patch by oBFusCATed to pretty print tokens
	  (see http://forums.codeblocks.org/index.php/topic,13979.0.html) -
	  it actually seems to work!
	  * applied patch #3119: show the Project's title in the Goto file
	  dialog (thanks oBFusCATed)
	  - coding style enhancements

2011-02-12 22:25  dmoore

svn6996:  * ToolsPlus plugin: Include menu accelerator characters
	  in FindMenu calls to avoid translation issues (thanks danselmi).
	  see
	  http://developer.berlios.de/patch/?func=detailpatch&patch_id=3110&group_id=7745
	  and
	  http://forums.codeblocks.org/index.php/topic,14206.msg95508.html#msg95508

2011-02-12 22:15  jenslody

svn6995:  * fix an issue, where the active tab was not always
	  visible after a project was opened (at least on linux)

2011-02-12 02:50  loaden

svn6990:  * CC: add UI part of one parser per whole workspace,
	  It's too complex, So I need do it step by step

2011-02-12 02:38  loaden

svn6989:  * CC: a little code refactoring

2011-02-12 02:26  loaden

svn6988:  * CC: remove unnecessary parser checking

2011-02-11 19:23  jenslody

svn6987:  ToolsPlus-plugin: set executable property update

2011-02-11 15:13  loaden

svn6986:  * improved smart un-indent, see
	  http://forums.codeblocks.org/index.php/topic,14087.msg94860.html#msg94860
	  for more details

2011-02-11 15:10  loaden

svn6985:  * New Feature: add "Goto line end" and "Insert new line
	  below current line" for special commands

2011-02-11 15:03  loaden

svn6984:  * CC: fixed bug reported here:
	  http://forums.codeblocks.org/index.php/topic,14198.0.html

2011-02-11 07:15  loaden

svn6983:  *CC: Fixed a bug in the expression solver (handling
	  conditional preprocessor directive), thank ollydbg!

2011-02-11 06:57  loaden

svn6982:  * CC: Add preprocessor parsing support for ParserTest

2011-02-11 05:37  mortenmacfly

svn6981:  * allow focusing project manager and "logs and others"
	  via menu (shortcut) to improve accessibility
	  * cycle through project manager -> editor -> "logs and others"
	  via CTRL+ALT+TAB

2011-02-11 05:35  mortenmacfly

svn6980:  * CC: fix an issue, that #defines are shown twice in
	  the tooltip

2011-02-10 09:06  mortenmacfly

svn6979:  - fix some compiler warnings in ANSI build (thanks
	  stahta01)

2011-02-10 09:00  mortenmacfly

svn6978:  * updated wxPropGrid from to 1.4.15 to fix a regression
	  which caused text to be pasted twice in text editors under some
	  platforms (at least wxMSW)
	  - added Doxyfile to create Doxygen documentation for wxPropGrid
	  only

2011-02-09 05:41  mortenmacfly

svn6977:  * applied patch #2956: Enable/disable tab position menu
	  items appropriately

2011-02-08 06:00  mortenmacfly

svn6976:  * applied patch #3127: doxyblocks bug fix (thanks
	  Cryogen)

2011-02-08 03:27  dmoore

svn6975:  ToolsPlus plugin: Internalization support for UI
	  strings, improved config panel layout and text descriptions

2011-02-07 13:17  jenslody

svn6972:  * minor debconf changes

2011-02-07 13:16  jenslody

svn6971:  * wxSmith: fix for an issue, where ID's of some items,
	  that can have events are converted to wxID_ANY

2011-02-07 11:23  jenslody

svn6970:  * add autoconf-check for necessary boost-header, if
	  NassiShneidermann-plugin should be build

2011-02-07 08:31  jenslody

svn6969:  * add gamin to the build-dependencies for debian; add
	  debconf-scripts to let the user decide, whether plugins are
	  loaded or not (see
	  http://forums.codeblocks.org/index.php/topic,14175.msg95251.html#msg95251
	  for details)

2011-02-06 19:59  mortenmacfly

svn6968:  * additional tuning for Linux build

2011-02-06 19:29  jenslody

svn6967:  * more linux build fixes and enhancements

2011-02-06 18:01  mortenmacfly

svn6966:  - first linux build fix

2011-02-06 17:04  jenslody

svn6965:  * FileManager-plugin: two small fixes

2011-02-06 16:54  mortenmacfly

svn6964:  * initial integration of new plugins (FileManager,
	  ToolsPlus) into Linux build system

2011-02-06 16:11  mortenmacfly

svn6963:  * added/integrated new contrib FileManager plugin
	  (Windows only for the moment)

2011-02-06 15:48  mortenmacfly

svn6962:  * added/integrated new contrib ToolsPlus plugin
	  (Windows only for the moment)

2011-02-05 10:09  mortenmacfly

svn6961:  - little clean-up to improve readability (no functional
	  change)

2011-02-04 13:58  jenslody

svn6958:  * fix an error in cbAuiNotebook that leads to a crash
	  if last tab in "Logs & others" is closed

2011-02-04 12:48  mortenmacfly

svn6957:  * fixed a crash when quickly hiding/showing the
	  management pane and pressing a key
	  - fixed possible further crash candidates of the same pattern

2011-02-03 20:55  mortenmacfly

svn6956:  * fixed recent files were limited to 8 and not 16 files
	  (introduced own CBFILE_ID enum for C::B), also fixes
	  non-continues file ID's
	  * CC: fixed bug that global variables are left expanded in the
	  project file (thanks oBFusCATed)
	  - optimised: set selection in cbEditor only, if selection is not
	  already visible
	  - some code style formatting (no functional change)

2011-02-03 20:13  mortenmacfly

svn6955:  * applied patch #3099: add new SDK event:
	  cbEVT_PROJECT_NEW

2011-02-03 20:06  mortenmacfly

svn6954:  * applied patch #3108: Searching for menu entries with
	  accelerators (thanks danselmi)

2011-02-03 13:00  killerbot

svn6952:  * doxyblocks plugin update : support for doxygen 1.7.3

2011-02-02 08:17  jenslody

svn6951:  * fix a possible hang/crash if a cbAuiNotebook is
	  completely hidden by resizing; minimize free space in tab-control
	  on all tab-controls of a notebook, if more than one exists due to
	  drag and drop of a tab

2011-02-01 07:13  mortenmacfly

svn6950:  - enable SVN keyword substitution for relevant files

2011-01-31 14:12  jenslody

svn6949:  * more non-pch build fixes

2011-01-31 13:58  jenslody

svn6948:  * next non-pch build fix

2011-01-31 09:44  jenslody

svn6947:  * non-pch build fix

2011-01-29 21:58  jenslody

svn6944:  * make scrolling through notebooktabs with mousewheel
	  optional; make some functions and variables of cbAuiNotebook
	  static, to be able to set/change values/behaviour for all
	  cbAuiNotebooks at once

2011-01-29 13:53  jenslody

svn6943:  * fix an issue with pch's that where not created if
	  flat objects are used (see
	  http://forums.codeblocks.org/index.php/topic,14126.msg94910.html#msg94910
	  for details)

2011-01-25 20:59  jenslody

svn6940:  * don't show tooltips, if they are not explicitely set

2011-01-25 14:24  jenslody

svn6939:  * add patch to be able to scroll through tabs with the
	  mousewheel or move the tabs with mousewheel + modkey without
	  changing selection (or vice versa, configurable in "Settings ->
	  Environment -> Notebooks appearance")

2011-01-25 13:58  jenslody

svn6938:  * fix an issue, that leads to a file-not-found message,
	  if a workspace is opened and the user tries to open it a second
	  time from recent projects list

2011-01-25 12:52  jenslody

svn6937:  * add patch to keep unused space in notebooks as small
	  as possible (do not hide tabs if not necessary)

2011-01-25 11:31  jenslody

svn6936:  * add option to disable tooltips on tabs and to
	  configure the time until a tooltip is shown

2011-01-25 10:55  jenslody

svn6935:  * improvement for projectlayoutloader and editor zoom:
	  zoom-factor and split-state are now saved per file; it's
	  configurable, whether zooming works on all editors or just the
	  active one (see:
	  http://forums.codeblocks.org/index.php/topic,14027.msg94293.html#msg94293)

2011-01-24 15:44  jenslody

svn6934:  * Add ability to maximize editors with double-click on
	  tab (see:
	  http://forums.codeblocks.org/index.php/topic,13862.msg93310.html#msg93310
	  for details)

2011-01-24 14:54  jenslody

svn6933:  * updated encoding-detection to latest version from
	  mozillas git-repo

2011-01-24 14:52  jenslody

svn6932:  * updated some copyright related stuff to 2011; changed
	  backslash to slash in linux projectfile; added
	  ReopenEditors-plugin in BUILD

2011-01-21 14:47  mortenmacfly

svn6931:  * applied patch by danselmi to re-enable the status by
	  working properly and allows for modifications by plugins (fixes
	  an issue introduced with r6841)
	  - removed some obsolete code

2011-01-20 08:53  killerbot

svn6930:  added .cc and .c++ extension for completion

2011-01-19 06:14  mortenmacfly

svn6927:  * increased number of recent projects / recent files to
	  16/16

2011-01-19 06:10  mortenmacfly

svn6926:  * add event to get active log window (logger)

2011-01-18 15:02  jenslody

svn6925:  * ReopenEditor-plugin: added closd file list; any files
	  on the list can be opened or removed from list; list is shown as
	  dockable window or in Logs & others (configurable via "Settings
	  -> Editor -> Reopen editor settings")

2011-01-18 14:58  jenslody

svn6924:  * fix possible issue, where tab-control does not
	  release mouse-capture properly

2011-01-18 14:49  jenslody

svn6923:  * add event to hide loggers; fix (minor) issues in
	  infopane; use new event for todo-list

2011-01-14 13:03  thomasdenk

svn6920:  - Fix Ogre wizard not to link incorrect debug libraries
	  under Linux (courtesy of Rainer Größlinger)

2011-01-13 13:56  jenslody

svn6919:  * added new plugin: ReopenEditor; reopens last closed
	  editor(s) via hotkey (Ctrl+Shift+t) or menu-item

2011-01-09 00:37  jenslody

svn6912:  * wxSmith-plugin: add missing initiliazation for
	  MenuItem; fix typo

2011-01-05 17:34  jenslody

svn6911:  * wxSmith fix: wrong include-line was created for
	  wxMessageDialog

2011-01-02 20:09  pecan

svn6910:  - DragScroll, add missing block token

2011-01-02 18:55  pecan

svn6909:  DragScroll 1.3.26 2011/01/2
	  - Fix Linux crash: check ClassInfo before scrolling wxListCtrl(s)

2011-01-02 18:53  jenslody

svn6908:  * non-pch build fixes, thanks Tim S. (stahta01)

2011-01-02 12:27  jenslody

svn6907:  * fix possible build issue with wx > 2.8.10

2010-12-27 15:27  mortenmacfly

svn6906:  * applied patch #3103: make GetCommentLexerStyle() and
	  friends language dependent (thanks danselmi)

2010-12-22 16:42  killerbot

svn6905:  - fix potential crash candidates

2010-12-20 09:02  loaden

svn6904:  * fix bug reported here:
	  http://forums.codeblocks.org/index.php/topic,13896.msg93507.html#msg93507

2010-12-20 01:03  loaden

svn6903:  * CC: re-enable memory pool

2010-12-20 00:58  loaden

svn6902:  * fix bug #17794, thank ollydbg!

2010-12-20 00:24  loaden

svn6901:  * fix a bug in ClassBrowser, avoid duplication refresh

2010-12-16 22:00  jenslody

svn6898:  * fix crash on linux in nativeparser.cpp, if default
	  compiler is msvc* (cross-build project), see here for details:
	  http://forums.codeblocks.org/index.php/topic,13766.msg93390.html#msg93390

2010-12-14 10:47  loaden

svn6897:  * add more comments for CC, thank ollydbg!

2010-12-14 10:28  jenslody

svn6896:  * add the ability to show tooltips on cbAuiNotebook
	  tabs; show full filename and Project in tooltip for editor tabs;
	  no tooltips on other tabs (at the moment)

2010-12-11 16:56  biplab

svn6895:  * Build fixes: for wx-2.9.

2010-12-11 16:46  biplab

svn6894:  * Added: wxScitilla as a dependency of sdk target.

2010-12-11 16:40  biplab

svn6893:  * Added: wxScitilla as a dependency of sdk target.

2010-12-11 11:20  mortenmacfly

svn6892:  - properly fixed compilation issues with wx29
	  concerning wxscintilla component

2010-12-11 10:04  mortenmacfly

svn6891:  - clean-up of wx29 project file; introduced #wx29
	  global variable therefore

2010-12-11 10:04  mortenmacfly

svn6890:  - renamed wx29 project file

2010-12-11 08:50  loaden

svn6889:  * build fix for wx-2.9

2010-12-11 08:46  loaden

svn6888:  * add C::B project only for wx2.9 compatibility test

2010-12-11 08:44  loaden

svn6887:  * wx2.9 compatibility only for cc

2010-12-10 14:42  mortenmacfly

svn6886:  - wxscintilla: make A68K lexer actually available
	  through WX interface (thanks danselmi)

2010-12-10 14:42  mortenmacfly

svn6885:  - wxscintilla: make A68K lexer actually available
	  through WX interface (thanks danselmi)

2010-12-10 06:43  jenslody

svn6883:  * partly (and hopefully temporary) revert commit 6879
	  (native middle-mouse-paste on wxGTK), because it makes it
	  impossible to replace selected text with text from clipboard

2010-12-09 16:11  biplab

svn6881:  * Build fixes: for wx-2.9.

2010-12-09 15:38  biplab

svn6880:  * Build fixes: for wx-2.9.

2010-12-09 15:29  jenslody

svn6879:  * additional fix to previous commit: selecting text and
	  pasting it with a middle mouse-click now works natively on wxGTK

2010-12-09 14:16  jenslody

svn6878:  * fix for the new copy and paste bug on wxGTK,
	  described here:
	  http://forums.codeblocks.org/index.php/topic,13833.msg93079.html#msg93079

2010-12-09 03:54  loaden

svn6877:  * rewritten parser switcher, enhance the performance
	  and accuracy

2010-12-08 15:52  mortenmacfly

svn6876:  * pumped wxScintilla component to 2.23 (including
	  interface optimisations) -> untested on 64 bit OS so far!
	  * added A68k lexer provided by Folco

2010-12-08 11:33  loaden

svn6875:  * a little improved for dtor code-completion

2010-12-06 21:02  jenslody

svn6874:  * don't create unneeded directories, if flat objects
	  are used; fix an issue described here:
	  http://forums.codeblocks.org/index.php/topic,13818.msg93003.html#msg93003

2010-12-02 16:49  biplab

svn6872:  * Fixed: [Bug #17583] wxFILE_SEP_PATH does not work
	  with BeforeLast

2010-12-02 10:47  jenslody

svn6871:  * personalize unix-socket for ipc-connection on linux
	  by adding the username, to avoid possible problems on
	  multiuser-systems

2010-12-01 15:58  biplab

svn6870:  * Fixed: A bug where Project wizard overwrites existing
	  files without notice if project name already exists.
	  * Also added svn properties, fixed inconsistent line endings.

2010-12-01 13:25  loaden

svn6869:  * fixed bug reported here:
	  http://forums.codeblocks.org/index.php/topic,13778.0.html

2010-12-01 12:08  loaden

svn6868:  * fixed cc search bug, see
	  http://forums.codeblocks.org/index.php/topic,13746.0.html for
	  more information

2010-12-01 10:29  loaden

svn6867:  * apply patch to fixed get stripped arguments error,
	  thank ollydbg!

2010-12-01 10:25  loaden

svn6866:  * build wxscintilla as static library, see
	  http://forums.codeblocks.org/index.php/topic,13538.0.html for
	  more information

2010-11-30 15:08  biplab

svn6865:  * Fixed: [Bug #17715] Scripted Wizard for wxSmith
	  Author fails on some chars

2010-11-26 22:39  jenslody

svn6862:  * fix an issue of the disassembly-dialog on linux: if
	  the embedded wxSintilla-window is created with wxDefaultSize,
	  parts of the dialog are hidden, if it is smaller than a certain
	  size (e.g. if it is docked)

2010-11-24 13:31  mortenmacfly

svn6859:  * added Yuchen Deng, alias "Loaden" to the list of
	  developers
	  - somewhat sorted list by function / date of becoming team member

2010-11-23 14:41  jenslody

svn6857:  * fix an issue due to changed behaviour of wxWidgets on
	  some 64-bit systems (at least FEDORA 14 64-bit) see here:
	  http://forums.codeblocks.org/index.php/topic,13734.msg92546.html#msg92546
	  for details

2010-11-20 08:06  jenslody

svn6856:  * fix an issue where external outdated external
	  dependencies are not recognized (see
	  http://forums.codeblocks.org/index.php/topic,13594.msg92496.html#msg92496
	  for detail)

2010-11-18 13:07  loaden

svn6855:  * partial revert r6787

2010-11-18 11:36  loaden

svn6854:  * revert r6842, r6843, r6846, r6848-r6852

2010-11-15 09:39  loaden

svn6853:  * improved wxWidgets wizard script, support selected
	  CRT type

2010-11-15 07:51  loaden

svn6852:  * fixed breaks user colors for "Docking system"

2010-11-15 05:12  loaden

svn6851:  * a little UI change for better layout

2010-11-14 12:38  loaden

svn6850:  * build fix

2010-11-14 12:30  loaden

svn6849:  * build wxscintilla as static library, see
	  http://forums.codeblocks.org/index.php/topic,13538.0.html for
	  more information

2010-11-14 11:50  loaden

svn6848:  * applied patch for add GNU Fortran Compiler, thank
	  PcX(xunxun1982@gmail.com)

2010-11-14 09:23  jenslody

svn6847:  * wxSmith-plugin: fix for a crash described here:
	  http://forums.codeblocks.org/index.php/topic,13672.msg92143.html#msg92143
	  (until we find a better solution)

2010-11-13 10:38  loaden

svn6846:  * remove the "ugly" part of wxToolbar, see
	  http://forums.codeblocks.org/index.php/topic,13665.0.html for
	  more information

2010-11-12 13:18  jenslody

svn6845:  * fixed a possible crash (on windows) or hang (on
	  wxGTK), if a file was modified outside C::B, and the IDE regains
	  focus with pressing the close-button on the editors tab.

2010-11-11 11:39  jenslody

svn6844:  * fixed an issue with split-view: non-default indicator
	  settings (used by highlight occurrences, IncSearch, SpellChecker)
	  have not been used directly after splitting (see here for an
	  example:
	  http://forums.codeblocks.org/index.php/topic,11307.msg91996.html#msg91996)

2010-11-08 15:42  loaden

svn6843:  * build fix

2010-11-08 15:37  loaden

svn6842:  * changed status bar style from wxSB_NORMAL to
	  wxSB_FLAT (only for Linux)

2010-11-07 14:30  loaden

svn6841:  * saved status bar displays status to the configuration
	  file

2010-11-07 07:51  jenslody

svn6840:  * DoxyBlocks-plugin: make generated comments undoable
	  in one step

2010-11-07 05:03  loaden

svn6839:  * fine-tuning configure dialog interface for
	  "Code-Completion" plugin

2010-11-07 01:31  loaden

svn6838:  * fixed big title info for "Compiler and debugger
	  settings"

2010-11-06 14:24  loaden

svn6837:  * fixed big title info can not setting background
	  color, and center align on Linux, avoid the issue record here:
	  http://wiki.wxwidgets.org/WxStaticText

2010-11-06 09:49  loaden

svn6836:  * making open containing folder can be configurable of
	  the commands

2010-11-06 08:54  loaden

svn6835:  * fine-tuning interface layout of "Other settings"

2010-11-05 02:34  loaden

svn6833:  * wxSmith: strip trailing blanks when flush to file

2010-11-05 01:52  loaden

svn6832:  * avoid possible crash

2010-11-04 13:27  loaden

svn6831:  * apply patch #003038 to support open file's containing
	  folder, see:
	  http://forums.codeblocks.org/index.php/topic,12983.0.html

2010-11-04 12:29  loaden

svn6830:  * update deleted pages in project options

2010-11-04 10:21  loaden

svn6829:  * auto find the references after rename symbol

2010-11-04 08:16  loaden

svn6828:  * add "*.bmarks" to ignore list, add ".objs, devel,
	  output" to ignore list of "src"

2010-11-04 07:44  loaden

svn6827:  * code clean up

2010-11-04 07:30  loaden

svn6826:  * compatibility fix

2010-11-04 07:07  loaden

svn6825:  * compatible with the latest version of the wxSmith

2010-11-04 06:27  loaden

svn6824:  * bug fix for function overloading

2010-11-04 00:19  loaden

svn6823:  * special handle function overloading for 'Goto
	  declaration' and 'Goto implementation'

2010-11-03 19:52  jenslody

svn6822:  * fix: after moving abbreviations into a plugin, the
	  plugin was missing in debian install files

2010-11-03 14:59  loaden

svn6821:  * support function overload parsing

2010-11-03 12:20  loaden

svn6820:  * fix auto indent error, reported here:
	  http://forums.codeblocks.org/index.php/topic,13548.msg91682.html#msg91682

2010-11-03 10:09  loaden

svn6819:  * build option fix

2010-11-03 08:44  loaden

svn6818:  * add "Token::GetStrippedArgs()" for remove default
	  value, improved function implementation

2010-11-02 15:35  biplab

svn6817:  * Re-phrased rev 6816.

2010-11-02 15:22  loaden

svn6816:  * when create a variable with an invalid content, give
	  a choice for the user

2010-11-02 14:56  loaden

svn6815:  * improved real-time reparsing

2010-11-02 14:30  loaden

svn6814:  * special handle constructor function for 'Goto
	  declaration' and 'Goto implementation'

2010-11-02 13:29  loaden

svn6813:  * special handle destructor function for 'Goto
	  declaration' and 'Goto implementation'

2010-11-02 12:24  loaden

svn6812:  * improved to get destructor function under cursor

2010-11-02 09:36  loaden

svn6811:  * ThreadSearch: avoid the error dialog interaction, to
	  direct log output

2010-11-02 08:52  loaden

svn6810:  * re-layout CodeCompletion-Plugin config dialog

2010-11-02 08:35  loaden

svn6809:  * splitting "General settings" to "Editor settings" and
	  "Other settings" by wxNotebook

2010-11-02 02:44  loaden

svn6808:  * improved ThreadSearch layout, making it more compact

2010-11-02 02:04  loaden

svn6807:  * some default size improved for small display

2010-11-02 01:32  loaden

svn6806:  * add "Build options" tab page, for solved too high of
	  the option dialog

2010-11-01 12:22  loaden

svn6805:  * add "__cpluscplus" predefined macro for C++ project

2010-11-01 09:25  loaden

svn6803:  * support shown template parameters in the Symbol
	  Browser

2010-11-01 09:04  loaden

svn6802:  * support constructor call tips

2010-11-01 08:07  loaden

svn6801:  * partial revert r6797, we need update call-tips window
	  position in anywhere

2010-11-01 07:35  loaden

svn6800:  * rewritten NativeParser::GetCallTips, support
	  multi-line call tips, fixed bug reported here:
	  https://developer.berlios.de/bugs/?func=detailbug&group_id=5358&bug_id=9935

2010-10-31 19:46  mortenmacfly

svn6798:  * applied PCH fix patch by oBFusCATed

2010-10-31 12:46  loaden

svn6797:  * improved call tips, fixed sometimes can not show

2010-10-31 12:41  loaden

svn6796:  * multiple-instance parameters fixed

2010-10-31 09:43  loaden

svn6795:  * partial revert r6706

2010-10-31 07:53  loaden

svn6794:  * fixed the line number initialization error when use
	  buffer parsing

2010-10-31 06:26  biplab

svn6793:  * wx-2.9 migration: Build fixes.

2010-10-31 06:12  biplab

svn6792:  * wx-2.9 migration: Build fix.

2010-10-31 06:12  biplab

svn6791:  * wx-2.9 migration: Build fix.

2010-10-31 06:10  biplab

svn6790:  * Fixed: Crash candidate in cbstatusbar

2010-10-31 06:08  biplab

svn6789:  * Fixed: Crash candidate in CC plugin.

2010-10-29 16:00  biplab

svn6788:  * Build system clean-up: Removed unnecessary automake
	  files from ppc project wizard.

2010-10-29 02:21  loaden

svn6787:  * add "--multiple-instance" program arguments

2010-10-29 02:00  loaden

svn6786:  * add Token::GetFormattedArgs(), it will replaced from
	  '\n' to '' for multi-line parameters

2010-10-29 01:55  loaden

svn6785:  * fixed get stripped arguments failed when parsing
	  Multi-line parameters

2010-10-28 13:32  loaden

svn6784:  * improved auto indent for "for/do/while/if..."

2010-10-28 13:15  loaden

svn6783:  * add "No such file or directory" rules to capture
	  build error

2010-10-28 13:11  loaden

svn6782:  * fixed mistake function parsing, e.g. "int i(8);", it
	  should be variable, not function

2010-10-28 11:55  loaden

svn6781:  * fixed bug: #010036, see
	  https://developer.berlios.de/bugs/?func=detailbug&group_id=5358&bug_id=10036

2010-10-28 11:25  loaden

svn6780:  * improved function parameters parsing, support multi
	  line parameter

2010-10-28 05:16  mortenmacfly

svn6777:  - codecompletion: added sanity checks

2010-10-28 05:15  mortenmacfly

svn6776:  * applied patch by danselmi: Add ToDo menu item so that
	  keybinder plugin can re-assign a shortcut

2010-10-28 02:23  loaden

svn6775:  * add svn:keywords for some new files

2010-10-28 00:05  loaden

svn6774:  * fixed crash while opened xpm files, and make xpm
	  files can be parsed

2010-10-27 16:03  loaden

svn6773:  * removed invalid copyright declare

2010-10-27 15:19  loaden

svn6772:  * fixed 'find references' or 'rename symbol' failed of
	  function parameter

2010-10-27 12:52  loaden

svn6771:  * fixed wrong function parameter location records

2010-10-27 09:16  loaden

svn6770:  * 'goto declaration' and 'goto implementation' will
	  goto the token position now

2010-10-27 04:04  loaden

svn6769:  * improved system header completion

2010-10-26 14:32  loaden

svn6768:  * fixed sometimes 'goto implementation' doesn't work

2010-10-26 09:39  loaden

svn6767:  * improved cc search, moved all static variables to
	  member variables, after switch parser, we should call init
	  function again

2010-10-26 08:22  loaden

svn6766:  * update sdk version

2010-10-26 02:43  loaden

svn6765:  * avoid possible crash when file modified by external
	  tools

2010-10-26 02:05  loaden

svn6764:  * partial revert r6730

2010-10-26 00:59  loaden

svn6763:  * Abbreviatons-Plugin: fix bug, the keyword list box
	  should be not sorted

2010-10-25 20:57  jenslody

svn6762:  * add abbreviatons-plugin to automake-system on linux
	  (and others); build fix (include-file names are case-sensitive on
	  linux); fixed typo

2010-10-25 15:56  loaden

svn6761:  * apply patch for move abbreviations from core into a
	  plugin (modify and improved), see:
	  https://developer.berlios.de/patch/?func=detailpatch&patch_id=3068&group_id=5358

2010-10-25 13:18  loaden

svn6760:  * fix cpu range error: occured if the cpu-count is
	  greater than sixteen

2010-10-25 11:06  loaden

svn6759:  * support strong enum parsing

2010-10-25 09:29  loaden

svn6758:  * add wxEVT_SCI_TAB and wxEVT_SCI_ESC event handler,
	  thank danselmi help!

2010-10-25 09:16  loaden

svn6757:  * add option to remove variable prefix of the event
	  handler function

2010-10-25 07:30  loaden

svn6756:  * wxSmith: avoid some build warning, e.g. "type
	  attributes ignored after type is already defined"

2010-10-25 06:04  loaden

svn6755:  * always have one free core of parallel builds

2010-10-24 20:53  jenslody

svn6754:  * fix for a folding-bug, where the folded block stays
	  hidden, if the folding-header (brace or whatever) was deleted
	  (see here:
	  http://forums.codeblocks.org/index.php/topic,13551.msg91270.html#msg91270
	  , and others).

2010-10-24 15:37  biplab

svn6753:  * Build system clean-up: Removed unnecessary automake
	  files from sys, win32gui, wxwidgets project wizards.

2010-10-23 16:57  loaden

svn6752:  * wxSmith: improved the event handler function name,
	  remove prefix "m_"

2010-10-23 16:37  loaden

svn6751:  * add find scope selected dialog for code refactoring

2010-10-23 14:00  loaden

svn6750:  * refactoring ParserTest, fixed scroll invalid occurred
	  in linux

2010-10-23 12:04  loaden

svn6749:  * update build docs

2010-10-23 11:32  loaden

svn6748:  * add a new parsing expressions, for capture linker
	  error, when use unrecognized option

2010-10-23 11:31  loaden

svn6747:  * AStyle: add option for delete empty lines within a
	  function or method

2010-10-23 03:38  loaden

svn6746:  * fixed auto indent bug, reported here:
	  http://forums.codeblocks.org/index.php/topic,13463.msg91157.html#msg91157

2010-10-23 02:08  loaden

svn6745:  * codecompletion plugin version changed from "0.7" to
	  "1.0"

2010-10-23 02:00  loaden

svn6744:  * if the global variable is not assigned, the default
	  value should set to "(invalid)"

2010-10-22 14:07  loaden

svn6743:  * wxSmith: improved internationalize option support,
	  fix default value inconsistent

2010-10-22 12:46  jenslody

svn6742:  * codesnippets-app (linux): build-fix

2010-10-22 11:02  loaden

svn6741:  * wxSmith: add internationalize option, see details:
	  http://forums.codeblocks.org/index.php/topic,9311.0.html

2010-10-22 08:04  jenslody

svn6740:  * make sure a block-caret is always shown (even if
	  width of line-cursor is set to 0)

2010-10-22 07:12  loaden

svn6737:  * revert the max-value of the spin-control for parallel
	  builds

2010-10-22 03:37  loaden

svn6736:  * abbreviations support auto switching between TAB and
	  SPACE.

2010-10-22 03:02  loaden

svn6735:  * based on the actual number of CPU to determine the
	  number of processes for parallel builds

2010-10-21 22:57  jenslody

svn6734:  * make the caret-style configurable

2010-10-21 18:27  mortenmacfly

svn6733:  Moved branches/codecompletion_refactoring to trunk
	  (switch to code_completion_refactoring branch).

2010-10-21 18:25  mortenmacfly

svn6731:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-10-20 09:45  mortenmacfly

svn6724:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-10-13 08:04  loaden

svn6709:  * cc_branch: fixed system headers completion error

2010-10-13 03:33  loaden

svn6708:  * cc_branch: improved system headers completion, fixed
	  popup delay in linux

2010-10-12 06:52  loaden

svn6707:  * cc_branch: fixed some errors when auto completion the
	  system headers

2010-10-12 03:57  loaden

svn6706:  * cc_branch: resolve template class variable parsing
	  error, reported here:
	  http://forums.codeblocks.org/index.php/topic,13463.msg90711.html#msg90711

2010-10-12 00:11  loaden

svn6705:  * cc_branch: rewritten "GetStringFromSet", make it
	  faster

2010-10-11 23:52  loaden

svn6704:  * cc_branch: improved system headers completion, want
	  to be faster

2010-10-11 09:00  loaden

svn6702:  * cc_branch: improved the response speed of the UI when
	  starting a batch parsing

2010-10-11 06:36  loaden

svn6701:  * cc_branch: improved the performance of function-like
	  macro parsing

2010-10-11 05:17  mortenmacfly

svn6696:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-10-11 04:49  jenslody

svn6695:  * cc-branch: avoid compilation-error due to remaining
	  semicolon after commit 6694

2010-10-11 04:33  loaden

svn6694:  * cc_branch: rewritten "GetActualContextForMacro" and
	  "GetFirstTokenPosition", fixed some bugs

2010-10-10 15:38  loaden

svn6692:  * cc_branch: rename local variable from "normalArgs" to
	  "formalArgs" by ollydbg

2010-10-10 15:27  loaden

svn6691:  * cc_branch: improved function-like macro parsing, a
	  little improved for avoid the endless loop

2010-10-10 11:30  loaden

svn6690:  * cc_branch: fixed endless loop when parsing big
	  project, e.g. linux-2.6.35.7

2010-10-10 09:57  loaden

svn6689:  * cc_branch: use "IS_ALIVE" instead "!TestDestroy()",
	  to increase readability, and facilitate the debugging

2010-10-09 12:32  loaden

svn6688:  * cc_branch: some details of the improvements, and
	  further to avoid the endless loop

2010-10-09 10:07  loaden

svn6687:  * cc_branch: fixed the buffer parsing error of class
	  body, local variable parsing support the construction and
	  destructor now

2010-10-09 08:41  loaden

svn6686:  * cc_branch: make the member variable using same naming
	  style

2010-10-09 04:34  loaden

svn6685:  * cc_branch: lets local variable support "find
	  references" and "rename symbols"

2010-10-09 03:58  loaden

svn6684:  * cc_branch: more improved, include:
	  - improved local variable parsing, fix jump error, make cc search
	  faster
	  - fixed a bug in GetFirstTokenPosition, e.g. buffer is "abab cd
	  efg", token is "ab"
	  - Fixed call tips does not full match

2010-10-08 13:00  loaden

svn6683:  * cc_branch: change auto launch chars min value, from 2
	  to 1, thank blueshake!

2010-10-08 11:27  loaden

svn6682:  * cc_branch: adding some judgments in order to avoid
	  some of the possible parsing error

2010-10-08 09:02  loaden

svn6681:  * cc_branch: fixed struct parsing error, e.g. struct A
	  {}; struct B { struct A a; };

2010-10-08 07:08  loaden

svn6680:  * cc_branch: make condition preprocessor be controlled
	  by option "C/C++ Parser > Parse preprocessor directives"

2010-10-08 06:35  loaden

svn6679:  * cc_branch: fixed copyright does not display the
	  correct (only some CC files)

2010-10-08 05:07  loaden

svn6678:  * cc_branch: improve debug log trace, avoid warning

2010-10-07 13:14  loaden

svn6677:  * cc_branch: fix re-parse error when re-read parser
	  options

2010-10-07 11:25  loaden

svn6676:  * cc_branch: rewritten HandleDefines, fix bug reported
	  here: http://forums.codeblocks.org/index.php/topic,13443.0.html

2010-10-07 05:37  loaden

svn6675:  * cc_branch: avoid possible endless loop when handle
	  class

2010-10-07 05:29  loaden

svn6674:  * cc_branch: make complex macros parsing is
	  configurable

2010-10-07 03:35  loaden

svn6673:  * cc_branch: improved debug log trace

2010-10-06 15:32  loaden

svn6672:  * cc_branch: buffer parsing supports record file name
	  and line number, improved local variable parsing

2010-10-06 04:15  loaden

svn6671:  * cc_branch: avoid endless loop when handle macro, and
	  other possible endless loop should be avoid too

2010-10-05 07:16  loaden

svn6670:  * cc_branch: fixed parsing error when class name
	  defined by a macro

2010-10-05 05:33  loaden

svn6669:  * cc_branch: improved the unnamed symbol search mode

2010-10-05 03:29  loaden

svn6668:  * cc_branch: add the feature to support unnamed
	  union/struct/class by ollydbg, reported here:
	  http://forums.codeblocks.org/index.php/topic,13426.msg90442.html#msg90442

2010-10-04 14:32  loaden

svn6666:  * cc_branch: refactoring some symbols in NativeParser,
	  make it more readable

2010-10-04 14:18  loaden

svn6665:  * cc_branch: fix parser init error, lead class browser
	  can not correctly refreshed

2010-10-04 13:33  loaden

svn6664:  * cc_branch: applied patch to fix enum parse error from
	  r6660, thank blueshake!

2010-10-03 22:42  loaden

svn6663:  cc_branch: avoid possible endless loop when parsing for
	  replaced buffer

2010-10-03 22:10  loaden

svn6662:  cc_branch: use real case sensitive when code completion

2010-10-01 15:32  mortenmacfly

svn6661:  * cc_branch: applied patch to...:
	  - support typedef of template operator overloading by blueshake,
	  see
	  http://forums.codeblocks.org/index.php/topic,13390.msg90193.html#msg90193
	  - fixed a bug of the default parameter error in MarkItemsByAI; as
	  a result CC Search gives correct matching result
	  - improved code-refactoring implementation, now it is 20x faster
	  when verifying the search results
	  - improved template value-tooltip (show correct information for
	  typedef of template)
	  - support re-parsing a file after the editor was modified

2010-09-30 19:13  mortenmacfly

svn6656:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-09-30 19:09  mortenmacfly

svn6655:  * cc_branch: applied patch to fix improve
	  code-refactoring

2010-09-30 05:58  mortenmacfly

svn6654:  * cc_branch: applied patch with the following
	  improvements:
	  - fix batch parse failed when creating an empty project
	  - improve AddParseThread
	  - clean-up of "token.h"

2010-09-29 04:39  mortenmacfly

svn6653:  * cc_branch: applied patch to improve handling of
	  namespaces AND improve parsing of e.g. boost libraries

2010-09-29 04:36  mortenmacfly

svn6652:  * cc_branch: applied patch to support for
	  codecompletion of member variable initialisation

2010-09-28 05:27  mortenmacfly

svn6650:  * cc_branch: applied patch to re-work nullptr

2010-09-24 13:50  mortenmacfly

svn6634:  * cc_branch: applied patch to add possibility to remove
	  all bookmarks

2010-09-24 13:44  mortenmacfly

svn6633:  * cc_branch: little cosmetic fix (comparison with
	  pointer)

2010-09-24 05:00  mortenmacfly

svn6632:  * cc_branch: applied patch to add some more comments
	  AND improve consistency of style for header files

2010-09-23 19:22  jenslody

svn6631:  * cc-branch: reverted commit 6629 (re-revert commit
	  6238), it was not the cause for the issuedescribed in
	  http://forums.codeblocks.org/index.php/topic,13338.msg89975.html#msg89975;
	  partly reverted commit 6594, that causes the issue

2010-09-23 15:43  mortenmacfly

svn6630:  * cc_branch: applied patch to fix system headers
	  parsing completely hangs

2010-09-23 15:42  mortenmacfly

svn6629:  * cc_branch: reverted patch of 6238r to fix the issue
	  reported here:
	  http://forums.codeblocks.org/index.php/topic,13338.msg89975.html#msg89975

2010-09-23 05:24  mortenmacfly

svn6627:  * cc_branch: build fix for parser test project

2010-09-23 05:23  mortenmacfly

svn6626:  * cc_branch: applied patch to support CC for member
	  variable initialisation

2010-09-23 05:21  mortenmacfly

svn6625:  * cc_branch: applied patch simplify interface to batch
	  parser AND improve performance

2010-09-23 05:20  mortenmacfly

svn6624:  * cc_branch: applied patch to improve locker for system
	  headers

2010-09-22 15:31  mortenmacfly

svn6623:  * cc_branch: applied patch to fix an error when opening
	  a header file without a project

2010-09-22 15:30  mortenmacfly

svn6622:  * cc_branch: applied patch to fix a hang while
	  reparsing

2010-09-21 19:28  mortenmacfly

svn6621:  * cc_branch: applied patch to fix *NONE* project parser
	  error AND add parsing any files opened through DDE or the
	  command-line

2010-09-21 04:58  mortenmacfly

svn6615:  * cc_branch: merged with trunk (trunk to cc_branch) to
	  fix some scintilla issues

2010-09-21 04:55  mortenmacfly

svn6614:  * cc_branch: applied patch to fix a crash under Windows
	  and do some cleanup, namely:
	  - fix a crash under windows
	  - use member variables with the unified naming style
	  - now NativeParser::GetParser returns always a reference
	  - removing parser instance unnecessary
	  - parser for the active editor is never removed
	  - refactoring some variable names, function names

2010-09-18 18:05  mortenmacfly

svn6608:  * cc_branch: applied patch to fix several possible
	  crash candidates

2010-09-18 06:43  mortenmacfly

svn6605:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-09-17 16:05  mortenmacfly

svn6604:  * cc_branch: applied patch to fix bug of scope
	  selection failed in linux.

2010-09-17 04:36  mortenmacfly

svn6603:  * cc_branch: applied patch to redesign the one-by-one
	  parser AND improve the protection of critical section

2010-09-16 05:31  mortenmacfly

svn6599:  * cc_branch: applied patch to fix hangs in linux

2010-09-16 05:13  mortenmacfly

svn6597:  * cc_branch: applied patch to fix a crash in batch
	  parse (v8)

2010-09-15 06:10  mortenmacfly

svn6596:  * cc_branch: applied patch to imrpove nightly build
	  (v2):
	  - make system header files code-completion configurable
	  - enhance performance by CC member variables
	  - fixed switch parser error
	  - add some debug log

2010-09-14 19:43  mortenmacfly

svn6594:  * cc_branch: applied patch to improve nightly build
	  (v1)

2010-09-14 19:16  mortenmacfly

svn6592:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-09-12 08:09  mortenmacfly

svn6581:  * cc_branch: merged with trunk (trunk to cc_branch)

2010-09-11 18:51  mortenmacfly

svn6579:  * cc_branch: applied patch to...:
	  - add code re-factoring feature, support "Find reference" and
	  "Rename symbols"
	  - fix a bug cc will hang when create a project by wizard
	  - improve re-parsing of up-front header files, increase
	  performance
	  - add filter when parsing source files, (e.g. .xrc, .xml files
	  not parsed)
	  - real-time parsing does not parse system header files.
	  - optimize the ui performance when opening several editors,
	  special handling for wx2.8.11
	  - re-factor the CC search function, (use SearchData type instead
	  of cbEditor)
	  - optimize the UI performance after batch parse finishes, some
	  tasks were moved from main thread to child thread
	  - add an menu entry of "Reparse this file"
	  - add an menu entry of "Reparse current project", and improve
	  Re-parsing project functionality
	  - change the short-key to do the codecompletion from Ctrl+SPACE
	  to Shift+SPACE only for Chinese,Japanese or Korean system,
	  because in Chinese,Japanese or Korean System, Ctrl+SPACE is
	  always used to switch IME.
	  - fix switch parser delay when editor is activated
	  - improve switch parser
	  - fix crash when show call tip in case of a *NONE* project file
	  - fix global scope update error
	  - improve system header search thread

2010-09-06 05:30  mortenmacfly

svn6572:  * cc_branch: applied patch for better handling of
	  operators (star operator)

2010-09-03 18:30  mortenmacfly

svn6570:  * updated wxPropGrid from to 1.4.14 to hopefully fix
	  issues reported here:
	  http://forums.codeblocks.org/index.php/topic,12362.msg88995.html#msg88995

2010-09-03 16:01  mortenmacfly

svn6568:  * make loggers more bullet proof (missing part)

2010-09-03 15:59  mortenmacfly

svn6567:  * make loggers more bullet proof

2010-08-30 16:37  biplab

svn6564:  * Fixed: Build with wx-2.9.x.

2010-08-30 16:36  biplab

svn6563:  * Added: Missing include header for std::sort(),
	  std::unique()

2010-08-28 18:26  afb

svn6557:  - ldc needs linker flag duplicated, like dmd

2010-08-28 13:50  afb

svn6556:  - codecompletion: add D icon for D keywords

2010-08-28 13:48  afb

svn6555:  - add LDC to D console wizard

2010-08-28 13:41  afb

svn6554:  * add LDC compiler, LLVM backend / D1 language / Tango
	  library

2010-08-28 13:36  afb

svn6553:  * allow running DMD on all platforms

2010-08-27 13:15  jenslody

svn6550:  * EditorTweaks plugin: i18n fix to avoid crash when
	  context-menu is shown; tiny fix for unix project-file

2010-08-26 19:16  mortenmacfly

svn6543:  - NassiShneiderman plugin: i18l corrections and fixed
	  compile bug

2010-08-26 19:02  mortenmacfly

svn6540:  * applied patch #3051: Fixed regex testbed dialog
	  fields - missing data (completes a previous commit)

2010-08-25 19:00  mortenmacfly

svn6536:  - NassiShneiderman plugin: adding missing include

2010-08-25 17:38  killerbot

svn6535:  - little fix to debian build file

2010-08-25 14:14  mortenmacfly

svn6532:  * applied i18n patch for NassiShneiderman plugin thanks
	  Xaviou

2010-08-25 04:38  mortenmacfly

svn6529:  - little translation fix for wxSmith

2010-08-24 22:08  afb

svn6528:  - restore the splashscreen workaround for mac

2010-08-24 08:26  mortenmacfly

svn6525:  * added missing portions in compilergcc of r6494 and
	  r6492

2010-08-23 05:54  mortenmacfly

svn6517:  * applied patch #2949: AskAssocDialog closes
	  incorrectly when press ESC key

2010-08-23 05:51  mortenmacfly

svn6516:  * applied patch #2871: Make double click open the
	  correct item in the TODO window

2010-08-23 05:49  mortenmacfly

svn6515:  * applied patch #2929: Show the build time in the build
	  messages log pane

2010-08-23 05:47  mortenmacfly

svn6514:  * applied patch #2942: Add option to control the smart
	  indent brace feature

2010-08-23 05:45  mortenmacfly

svn6513:  * applied patch #2943: Respect the selected target

2010-08-22 12:13  mortenmacfly

svn6509:  * bug-fix of crash for non-attached plugins (e.g.
	  disabled EditorTweaks), thanks oBFusCATed

2010-08-20 06:00  jenslody

svn6502:  * fix another small isssue, that slipped in with commit
	  6498 and 6501 (workspace was always set as modified on first
	  load)

2010-08-19 19:14  jenslody

svn6501:  * revert commit 6500 and (hopefully) finally fix the
	  issue introduced in r6498

2010-08-19 16:22  mortenmacfly

svn6500:  * hot fix concerning bug introduced with r6498 until
	  probably a better solution is found

2010-08-19 07:06  mortenmacfly

svn6499:  * debugger (cdb): added support for stepin / stepout

2010-08-19 07:00  mortenmacfly

svn6498:  * applied (modified) patch #2879: Call SetProject()
	  only after workspace is opened

2010-08-19 06:16  mortenmacfly

svn6497:  - tab removal, alignment corrections to improve
	  readability of code -> no code change

2010-08-19 06:03  mortenmacfly

svn6496:  * ToDo plugin: allow CPP style comments
	  * ToDo plugin: handle types more correctly
	  * ToDo plugin: allow to select allowed types (as a filter)

2010-08-19 05:55  mortenmacfly

svn6495:  - scripting: mark C::B patches explicitely in the code

2010-08-19 05:54  mortenmacfly

svn6494:  * added some sanity checks when working with a compiler
	  pointer
	  * added some missing elements of patch #2894 (see r6492)
	  * cbprofiler: auto-select active build target for convenience
	  * wizards: use images with transparency (if supported by
	  platform)
	  - debugger: some sanity checks concerning wxTeeItems

2010-08-19 05:39  mortenmacfly

svn6493:  * introduced (and used) some new SDK events:
	  EVT_EDITOR_SWITCHED, EVT_PROJECT_FILE_CHANGED,
	  EVT_CLEAN_PROJECT_STARTED, EVT_CLEAN_WORKSPACE_STARTED
	  * make the link to the build log file always use forward slashes
	  - some code-cleanup (remove obsolete commented portions)

2010-08-19 05:27  mortenmacfly

svn6492:  * applied (modified) patch #2894: Set parent window of
	  cbMessageBox where possible This fixes "message boxes behind main
	  dialogs" under Unix/Linux
	  * applied blended colour to loggers to hopefully fix the colour
	  issues with the loggers under Linux with dark themes

2010-08-19 04:55  mortenmacfly

svn6491:  * applied patch #2839: Select file from all projects in
	  the workspace
	  - some code clean-up (e.g. tagetting MSVC compilr?!)

2010-08-18 15:05  biplab

svn6490:  * Non-pch build fix.

2010-08-18 12:01  afb

svn6489:  - libwxpropgrid is already included in libcodeblocks

2010-08-18 07:40  afb

svn6488:  - DoxyBlocks: fix typo in the dist list

2010-08-15 18:41  mortenmacfly

svn6484:  - compiler: another trial to stop the posts of ignorant
	  people in the forums

2010-08-11 14:39  jenslody

svn6476:  * another fix for the wxPropertyGridManager splitter
	  issue in wxSmith: see svn6405

2010-08-11 14:27  mortenmacfly

svn6475:  * added TARGET_OUTPUT_FILENAME to macrosmanager
	  (partially applied patch #2937)

2010-08-11 14:18  mortenmacfly

svn6474:  * applied patch #3045: Get rid of lexer_nassi.* files
	  * applied patch #3041: Adding wxFileName::IsFileWritable for
	  scripting
	  * applied patch #3040: Add CurrentSelection to the Macros

2010-08-11 13:33  jenslody

svn6473:  * avoid crash of wxChartCtrl, if style contains
	  USE_GRID and no chart is added (default in wxSmith preview);
	  fix wrong casting of style, that can lead to a build error

2010-08-09 05:12  mortenmacfly

svn6465:  * pumped TinyXML to 2.6.1 (plus some patches from
	  TinyXML SVN trunk) -> fixes some C++ inconsistencies

2010-08-08 16:55  killerbot

svn6463:  * applied : Patch to make '--add-brackets / -j' option
	  available in astyle plugin
	  (http://forums.codeblocks.org/index.php/topic,13054.msg87865.html)

2010-08-03 18:53  mortenmacfly

svn6443:  - corrected object output folder for NassiShneiderman
	  plugin under Unix

2010-08-02 20:24  jenslody

svn6441:  * projectmanager: immediately change color of files in
	  projects tree, if compilation or linking is turned on or off;
	  restore selected node after rebuild of projects tree (see
	  http://forums.codeblocks.org/index.php/topic,12131.0.html for
	  details)

2010-08-02 06:25  mortenmacfly

svn6437:  * cbProject: allow macro replacements for file path's,
	  too (sse wxFileName::GetFullPath instead of GetFullName)

2010-07-29 05:14  jenslody

svn6435:  * (temporarily?) removed wxMediaCtrl from wxSmith,
	  because it breaks compilation on allmost all linux distributions
	  (see http://forums.codeblocks.org/index.php/topic,12977.0.html
	  for details )

2010-07-26 06:38  mortenmacfly

svn6425:  * wxSmith: added missing images (icons) for new
	  controls
	  - wxSmith: corrected a spelling error

2010-07-26 04:57  biplab

svn6424:  * Fixed: Build with wx-2.9.1

2010-07-26 04:55  biplab

svn6423:  * Fixed: Deprecated function call with wx-2.9.1

2010-07-26 04:41  biplab

svn6422:  * Fixed: Build with wx-2.9.1

2010-07-26 04:39  biplab

svn6421:  * Fixed: Build with wx-2.9.1

2010-07-26 04:30  biplab

svn6420:  * Fixed: Bug in Previous commit.

2010-07-26 04:24  biplab

svn6419:  * Fixed: Build with wx-2.9+.

2010-07-25 19:37  mortenmacfly

svn6418:  * build fixes to EditorTweaks and wxSmith related
	  projects under Unix

2010-07-25 19:15  mortenmacfly

svn6417:  - NassiShneiderman plugin: add default path to boost
	  libs to compiler options (Unix)

2010-07-24 11:14  biplab

svn6416:  * Fixed: Warning message.

2010-07-24 08:06  biplab

svn6415:  * Avoid: "warning: unused parameter"

2010-07-23 18:59  mortenmacfly

svn6414:  * wxSmith: Updated number of wxWidgets controls
	  supported by applying patch of Cryogen (Thanks!)
	  * wxSmith: Namely these are: wxAnimationCtrl, wxBitmalCombobox,
	  wxColourPicker, wxContextHelpButton,
	  * wxSmith: ...wxDialUpManager, wxDirPickerCtrl, wxFilePickerCtrl,
	  wxFindReplaceDialog, wxFontDialog, wxFontPickerCtrl,
	  * wxSmith: ...wxHtmlEasyPrinting, wxHyperLinkCtrl, wxListView,
	  wxMEdiaCtrl, wxMessageDialog, wxPageSetupDialog,
	  * wxSmith: ...wxPasswordEntryDialog, wxPrintDialog,
	  wxProgressDialog, wxRichTextCtrl, wxRichTextFormattingDlg,
	  * wxSmith: ...wxRichTextStyleOrganiserDialog, wxSearchCtrl,
	  wxSimpleHtmlListbox, wxTextEntryDialog, wxTreebook

2010-07-23 16:22  biplab

svn6413:  * Fixed: [Bug #17318] Crash in Hex Editor

2010-07-21 05:32  mortenmacfly

svn6411:  - minor update of project files for more consistency
	  and removal of warnings

2010-07-20 18:37  killerbot

svn6408:  - build fix (build got broken in previous commit)

2010-07-20 15:46  biplab

svn6407:  * Reference can not be NULL. Refer section 8.3.2 of
	  ISO/IEC 14882:2003(E) for more details.

2010-07-20 12:13  jenslody

svn6406:  * save state and order of tabs in Logs and others (see
	  http://forums.codeblocks.org/index.php/topic,12554.msg85056.html#msg85056
	  and
	  http://forums.codeblocks.org/index.php/topic,12650.msg85657.html#msg85657)

2010-07-20 05:26  mortenmacfly

svn6405:  * wxSmith: applied patch by Cryogen to fix wxPropGrid
	  issue with first column always being at min size

2010-07-15 14:52  mortenmacfly

svn6402:  - avoid creation of profile info (gmon.out) for Doxygen
	  plugin

2010-07-14 17:29  killerbot

svn6400:  - doxyblocks update

2010-07-09 16:33  killerbot

svn6386:  * fix : EditorTweaks stealing regular shift-ins
	  functionality (aka ctrl-v / paste)

2010-07-08 19:04  killerbot

svn6385:  - update to latest version of DoxyBlocks

2010-07-03 07:47  killerbot

svn6384:  - fix to previous commit

2010-07-03 07:25  killerbot

svn6383:  - non pch fixes (thanks Tim)

2010-07-03 07:17  killerbot

svn6382:  - version

2010-07-03 07:16  killerbot

svn6381:  - remove warning

2010-07-03 07:15  killerbot

svn6380:  - minor change, and bring back the -Wall

2010-06-30 17:52  pecan

svn6379:  BrowseTracker - 1.2.95 2010/06/30 - Ignore Editor line
	  1 activations in JumpTracker

2010-06-28 17:10  killerbot

svn6378:  - by default no svg functionality (will raise to many
	  problems with people building CB and wx) on windows (maybe we
	  could add an extra target with the svg support ?)

2010-06-28 17:09  killerbot

svn6377:  - remove warnings

2010-06-27 15:56  killerbot

svn6376:  - updates

2010-06-27 14:57  killerbot

svn6375:  * Added DoxyBlocks, EditorTweaks, NassiShneiderman and
	  Cscope plug-ins

2010-06-27 07:24  killerbot

svn6374:  - remove warnings

2010-06-27 07:22  killerbot

svn6373:  - remove warnings

2010-06-25 18:51  jenslody

svn6372:  * fix a bug that prevents tab-position (top or bottom)
	  in message-pane from being restored

2010-06-22 13:27  pecan

svn6370:  CodeSnippets - remove use of precompiled header in App
	  project

2010-06-21 19:35  killerbot

svn6369:  - remove warnings

2010-06-21 19:34  killerbot

svn6368:  - remove warnings

2010-06-21 19:34  killerbot

svn6367:  - remove warnings

2010-06-21 19:33  killerbot

svn6366:  - remove warnings

2010-06-20 20:35  jenslody

svn6365:  * fix a bug that make project prebuild-steps be invoked
	  twice in workspace-build (see:
	  http://forums.codeblocks.org/index.php/topic,12774.msg86405.html#msg86405)

2010-06-13 10:57  killerbot

svn6354:  - remove warnings

2010-06-13 07:13  killerbot

svn6353:  - include fix

2010-06-12 20:33  killerbot

svn6352:  - remove warnings

2010-06-12 18:50  killerbot

svn6351:  - fix unix project file

2010-06-12 18:37  killerbot

svn6350:  - remove warnings

2010-06-12 18:15  killerbot

svn6349:  - remove some warnings

2010-06-12 18:03  killerbot

svn6348:  - remove some warnings

2010-06-12 18:02  killerbot

svn6347:  - remove some warnings

2010-06-12 10:46  killerbot

svn6346:  - build fix

2010-06-11 08:45  jenslody

svn6342:  * fix a bug when changing EOL-mode from editor
	  configuration dialog, see
	  http://forums.codeblocks.org/index.php/topic,12712.msg86084.html#msg86084
	  for details

2010-06-11 05:28  mortenmacfly

svn6341:  - fixed Linux part of wxSmitAui project file

2010-06-10 17:31  jenslody

svn6340:  * wxSmith-Aui: build fix

2010-06-10 10:55  mortenmacfly

svn6339:  * partially merged debugger_branch into trunk:
	  * updated wxPropGrid from 1.2 to 1.4 version
	  * moved wxPropGrid from wxSmith to the core as DLL as it will 7
	  can be re-used
	  - modified build system, project files (needs some more work,
	  probably)

2010-06-08 12:44  afb

svn6336:  * fix console only debugging (gdb tty) on wxMac

2010-06-05 19:59  afb

svn6333:  * fix keybinder mappings on wxMac, as the Command key
	  was missing
	  (mapping for instance Find to just "f", rather than "Ctrl-f"...)
	  the Control key is very seldom used, but maps to "XCtrl-" if so.
	  doesn't affect other platforms, where wxACCEL_CMD == wxACCEL_CTRL

2010-06-05 12:39  biplab

svn6332:  * Fixed: Broken make dist inside astyle folder.

2010-06-05 09:59  afb

svn6331:  - make splash screen default to the size of the splash
	  image (the resize is broken on mac)

2010-06-05 09:22  afb

svn6330:  * only use -lX11 on wxGTK, not on wxMSW/wxMac

2010-06-05 09:17  afb

svn6329:  - add X11 CFLAGS/LIBS (like GTK2), for linking directly
	  with X

2010-06-03 04:34  mortenmacfly

svn6324:  * pumped AStyle to 1.25

2010-06-03 04:27  mortenmacfly

svn6321:  - fixed tiny build error introduced in r6312

2010-06-01 13:53  biplab

svn6316:  * Fixed: [Bug #15438] Abbreviations problem with
	  doxygen comment

2010-06-01 13:28  biplab

svn6314:  * Applied: [Patch #2990] fix linking of codesnippets
	  plugin

2010-06-01 13:19  mortenmacfly

svn6313:  - fixed missing file in Makefile for wxscintilla

2010-06-01 12:45  mortenmacfly

svn6312:  * pumped scintilla/wxScintilla to v2.1.2 (incl.
	  updating code generation script and regenerating code...
	  obviously)
	  - fixed some spelling/alignment mistakes

2010-06-01 12:13  mortenmacfly

svn6309:  * applied patch #2902: Improvement in TabSwitcher

2010-06-01 11:21  mortenmacfly

svn6308:  * applied patch #2849: Multiline S&R, (the return of
	  rickg22)

2010-05-31 09:18  jenslody

svn6297:  * added missing format-file in debian/source,
	  thanks afb

2010-05-30 18:54  killerbot

svn6287:  - fixed typo

2010-05-30 16:23  jenslody

svn6286:  * Changed version of base-release to 10.05 in some
	  files;
	  added shell-script to download actual documentation from our
	  server;
	  added doc-packages to debian/control, added install and doc-base
	  files therefore;
	  added README.Debian;
	  updated some copyright-informations

2010-05-27 09:09  jenslody

svn6282:  * fix for bug #16951 and #17068: Project properties
	  dialog too large, caused by wxTreectrl from libfinder-plugin

2010-05-25 05:00  mortenmacfly

svn6276:  - fixed spelling mistake plattform -> platform

2010-05-19 09:59  mortenmacfly

svn6261:  * prepared new logo

2010-05-15 08:54  biplab

svn6235:  * Fixed: Typo in changelog of codeblocks.spec.in.

2010-05-14 18:19  mortenmacfly

svn6233:  - introducing naming scheme for title

2010-05-12 14:12  biplab

svn6219:  * Applied: [Patch #2977] PATCH for wxSmith, fixed the
	  min size preview bug.

2010-05-11 06:17  mortenmacfly

svn6218:  * fixed possible crash candidate

2010-05-11 05:58  mortenmacfly

svn6217:  - introducing naming scheme for logos

2010-05-08 08:17  killerbot

svn6216:  - non pch build ifx

2010-05-07 15:21  biplab

svn6215:  * Applied: [Patch #2969] to wxWidgets wizard.

2010-05-07 15:16  biplab

svn6214:  * Applied: [Patch #2974] adjust path to scintilla in
	  "plugin::ScriptedWizard"

2010-05-05 14:12  mortenmacfly

svn6213:  * CC: Fix a bug for ' extern "C++" ' (thanks Loaden)

2010-05-05 14:10  mortenmacfly

svn6212:  - removed accidentally committed file

2010-05-05 14:09  mortenmacfly

svn6211:  * CC: fix 'Tokenizer::FixArgument' bug: support skip
	  C++ comment (thanks Loaden)

2010-05-05 14:08  mortenmacfly

svn6210:  * applied patch for wizard's default compiler error
	  (thanks Loaden)

2010-05-05 14:06  mortenmacfly

svn6209:  * CC: bug fix for GetGCCCompilerDirs failed in
	  Archlinux (thanks Loaden)

2010-05-01 08:37  jenslody

svn6206:  * fix for bug #17097 (Manager panel incorrect
	  behaviour), also changes the behaviour of logger tabs, they now
	  get toggled instead of closed, if close_on_all_tabs is active and
	  the close-button is clicked (fix the bug described here:
	  http://forums.codeblocks.org/index.php/topic,12450.0.html)

2010-04-22 07:28  mortenmacfly

svn6205:  - start_here page: landed in 2010

2010-04-16 17:28  jenslody

svn6204:  * fixed a typo in sqstdstring.cpp

2010-04-12 07:47  mortenmacfly

svn6203:  * cc: applied patch by Loaden to fix VC header parsing
	  * cc: some code cleanup, additional debug messages

2010-04-06 05:38  jenslody

svn6202:  * fixed a bug on linux: the list of plugins that should
	  be loaded in batch-build-mode was not saved

2010-04-04 08:21  killerbot

svn6201:  - little date/timestamp fix

2010-03-30 18:07  killerbot

svn6198:  - bracecompletion fix

2010-03-28 12:39  killerbot

svn6197:  - applied path from GeO

2010-03-23 19:55  killerbot

svn6196:  - applied patch 2954

2010-03-21 17:29  jenslody

svn6195:  - Incremental-Search-plugin: cosmetical changes to
	  configuration-dialog as suggested by mariocup

2010-03-21 13:02  killerbot

svn6194:  - CppCheck : add project include dirs to cppcheck
	  invocation

2010-03-16 16:18  biplab

svn6190:  * Fixed: Compiler warning - "warning: 'dllimport'
	  attribute ignored"

2010-03-16 15:41  biplab

svn6189:  * Build fix for SqPlus.

2010-03-11 22:21  killerbot

svn6188:  - minor backslash -> forward slash fix

2010-03-07 21:51  killerbot

svn6187:  * CppCheck plug-in : switch to input file list style of
	  the new cppcheck (1.41)

2010-03-03 20:29  pecan

svn6186:  KeyBinder 1.0.49 2010/03/3
	  - Apply patch 2885 by techy

2010-02-28 16:17  biplab

svn6182:  * Fixed: A bug in "Advanced Options" page of wxWidgets
	  Project wizard. (Thanks Hans Henrik for pointing this)

2010-02-26 11:07  jenslody

svn6181:  - reduce build-warnings (and speed up compiling) on
	  linux, by (re-)enabling use of precompiled headers in the project
	  files of some contrib-plugins

2010-02-26 10:43  jenslody

svn6180:  - non pch build fix

2010-02-25 21:47  pecan

svn6179:  BrowseTracker 1.2.94 2010/02/25
	  - Apply patch 2886 by techy

2010-02-20 10:12  biplab

svn6178:  * Fixed: rpm build issues.

2010-02-20 01:24  pecan

svn6177:  BrowseTracker 1.2.93 2010/02/19
	  - Diable Ctrl-Left_Mouse key usage when user sets editor
	  multi-selection enabled.

2010-02-19 13:21  jenslody

svn6175:  * contrib-plugins: fix execution working dir and (path
	  to) host application, so all plugins can be started and debugged
	  from within C::B

2010-02-18 15:44  biplab

svn6174:  * Clean-up: svn propset

2010-02-18 15:35  biplab

svn6173:  * Fixed: broken rpm build due to path change from
	  icons/gnome/* to icons/hicolor/*

2010-02-18 13:21  biplab

svn6171:  * Updated: rpm spec file to use .tar.bz2 as source
	  tarball extension

2010-02-18 11:37  jenslody

svn6170:  * fixed: Assertion with wxWidgets debug-build, due to
	  incorrect image size

2010-02-15 20:52  mariocupelli

svn6166:  - correct typo

2010-02-15 15:29  biplab

svn6165:  * Fixed: Assert failure with wx-debug build.

2010-02-15 13:07  biplab

svn6164:  * Applied: [Patch #2926] Fix for closed bug #16808 -
	  codesnippets install/uninstall

2010-02-15 11:15  mortenmacfly

svn6163:  * pumped (wx)scintilla to version 2.0.3 to resolve a
	  conflict with rectangular selections
	  - applied some API changes of scintilla to wxScintilla

2010-02-15 10:24  biplab

svn6162:  * Applied: [Patch #2927] Fix for closed bug #16809 -
	  scriptedwizard install/uninstall

2010-02-15 04:08  biplab

svn6159:  * Applied: [Patch #2907] Fixes ConsoleRunner build on
	  POSIX/SUS systems. (See
	  http://www.opengroup.org/onlinepubs/000095399/basedefs/sys/wait.h.html
	  - For WIFEXITED etc. macros)

2010-02-14 06:37  biplab

svn6157:  * Applied: [Patch #2611] Make HexEditor plugin portable
	  (no C99)

2010-02-14 02:44  biplab

svn6156:  * Removal of file from active project is now disabled
	  during compiling (either via 1) pressing "Delete" button or 2) by
	  clicking "Remove file from project" menu option)

2010-02-12 01:07  pecan

svn6155:  CodeSnippets 1.3.120 2010/02/11
	  - Fix crash when double clicking previously opened snippet
	  - Copy fixes 5995 & 6000 from CB EditorManager to SEditormanager

2010-02-10 14:21  biplab

svn6154:  * Clean-up: svn propset

2010-02-10 13:42  biplab

svn6153:  * Fixed: [Bug #16808] codesnippets clean and uninstall
	  leaves file behind _correctly_

2010-02-10 13:40  biplab

svn6152:  * Fixed: [Bug #16809] scriptedwizard clean and
	  uninstall leaves file behind _correctly_

2010-02-10 10:35  mortenmacfly

svn6151:  * added SFML project wizard and template (applying
	  patch #2851)

2010-02-09 16:02  biplab

svn6150:  * Cleanup: SVN propset, inconsistent line ending.

2010-02-09 16:00  biplab

svn6149:  * Cleanup: SVN propset, inconsistent line ending.

2010-02-09 15:58  biplab

svn6148:  * Cleanup: SVN propset, inconsistent line ending.

2010-02-09 15:56  biplab

svn6147:  * Cleanup: SVN propset, inconsistent line ending.

2010-02-09 15:50  biplab

svn6146:  * Fixed: [Bug #16808] codesnippets clean and uninstall
	  leaves file behind

2010-02-09 15:47  biplab

svn6145:  * Fixed: [Bug #16809] scriptedwizard clean and
	  uninstall leaves file behind

2010-02-09 15:40  biplab

svn6144:  * Cleanup: SVN propset.

2010-02-09 15:24  biplab

svn6143:  * Cleanup: SVN propset.

2010-02-09 15:16  biplab

svn6142:  * Cleanup: SVN propset.

2010-02-09 15:12  biplab

svn6141:  * Cleanup: SVN propset.

2010-02-09 15:11  biplab

svn6140:  * Cleanup: SVN propset.

2010-02-09 15:10  biplab

svn6139:  * Cleanup: SVN propset.

2010-02-09 15:05  biplab

svn6138:  * Cleanup: SVN propset.

2010-02-09 15:05  biplab

svn6137:  * Cleanup: SVN propset, inconsistent line ending.

2010-02-07 19:27  jenslody

svn6136:  * fix a drag and drop bug in wxScintilla: on linux only
	  copying was allowed, not moving of selected text

2010-02-05 13:02  jenslody

svn6135:  * avoid crashes in wx-2.9, due to incorrect dimensions
	  of wxFlexGrid in editor-configuration dialog, see
	  http://forums.codeblocks.org/index.php/topic,11952.msg81411.html#msg81411
	  for details

2010-02-03 17:43  mortenmacfly

svn6134:  * allow the "x" to close a tab to appear on all tabs
	  (via settings -> environment -> notebook)
	  * class wizard: handle "no implementation file" correctly.
	  * fixed wrong scope for "ReplaceInFiles" dialog
	  * applied patch #2882: call SetDesc() of the command-line parser
	  only once
	  * applied patch #2865: categorize source files regardless of
	  their capitalization
	  * applied patch #2869 to fix bug #16076: file manager: allow ANSI
	  builds to dump char* to file (no changes for unicode build)
	  * applied patch #2888: cosmetic fix of projectfile.cpp

2010-02-03 14:06  jenslody

svn6133:  - wx-2.9 build fixes

2010-02-03 11:37  jenslody

svn6132:  - removed not needed (double) header-guards , that
	  slipped in with last commit

2010-02-03 11:28  jenslody

svn6131:  * subclassed wxAuiNotebook, to work around some
	  annoying issues:
	  C::B often crashed on linux when dragging tabs with composite
	  effects enabled,
	  Ctrl+Tab did not respect taborder after dragging tabs,
	  Ctrl+Tab did not wrap at the end or the beginning,
	  Ctrl+Tab jumped through all tabs, even if new tab groups have
	  been created,
	  the taborder could not be saved after reordering the tabs with
	  drag and drop;
	  see
	  http://forums.codeblocks.org/index.php/topic,11519.msg81378.html
	  for details

2010-02-02 10:15  mortenmacfly

svn6130:  * add ACTIVE_EDITOR_LINE, ACTIVE_EDITOR_LINE_0,
	  ACTIVE_EDITOR_COLUMN, ACTIVE_EDITOR_COLUMN_0 macros pointing to
	  the current editor's line/column (one and zero based)

2010-02-02 09:43  mortenmacfly

svn6129:  * do the same fix as r6128 for lib_finder and
	  codesnippets, too (they are affected, too)

2010-02-02 08:53  jenslody

svn6128:  - wxSmith: fix possible crash on windows, due to
	  linking with tinyxml, what is already statically linked into
	  codeblocks-library

2010-01-31 11:51  mandrav

svn6127:  * Added "Reset to defaults" button in
	  "Environment->Docking system" settings.

2010-01-31 11:25  jenslody

svn6126:  - wx-2.9 build-fixes (especially for scrollingdialog.*
	  and linux)

2010-01-31 03:56  biplab

svn6125:  * Cleanup: SVN propset.

2010-01-31 03:53  biplab

svn6124:  * Cleanup: SVN propset, inconsistent line ending.

2010-01-31 03:52  biplab

svn6123:  * Cleanup: SVN propset.

2010-01-31 03:49  biplab

svn6122:  * Cleanup: SVN propset.

2010-01-31 03:46  biplab

svn6121:  * Fixed: Broken build with wx-2.9 due to rev 6120.

2010-01-30 22:28  mandrav

svn6120:  * Added CallMenu() script command to call menus from
	  scripts (e.g. "/Valgrind/Run Valgrind::MemCheck").

2010-01-30 02:24  biplab

svn6119:  * Added: WX_VERSION env var to Code::Blocks project
	  file.

2010-01-29 22:15  mandrav

svn6118:  * Added two new script commands: ExecuteToolPlugin()
	  and ConfigureToolPlugin().

2010-01-26 09:15  jenslody

svn6117:  * added missing include in wxPrpgrid's odcombo.cpp,
	  needed if wxUSE_POPUPWIN is not defined

2010-01-26 09:12  mortenmacfly

svn6116:  - some build fixes for the Mac (thanks afb)

2010-01-26 08:37  jenslody

svn6115:  * added missing include in infowindow.h, needed if
	  wxUSE_POPUPWIN is not defined;
	  reduced compiler warnings

2010-01-25 19:12  mortenmacfly

svn6114:  - compilation fix for GCC 3.3.3 (by applying patch
	  #2802)

2010-01-24 16:03  jenslody

svn6113:  * fix some issues of symbols-browser:
	  if view was "Active project's symbols:" a change of the active
	  project was not recognized;
	  if view was "Current file's symbols:" the toolbar was cleared, if
	  all files except one have been closed;
	  if view was "Current file's symbols:" the symbols-browser still
	  shows the content of the last open file, after all files have
	  been closed;

2010-01-24 09:10  mortenmacfly

svn6111:  - some build fixes (missing include, wrong setup)

2010-01-23 22:05  mandrav

svn6110:  * Fixed CC parsing bug resulting to crash (preprocessor
	  definitions inside template arguments - e.g. boost)

2010-01-23 13:40  mortenmacfly

svn6106:  * reverted problematic PipedPocess patch than
	  accidentally slipped in
	  - updated some project files to reduce linker warnings
	  - updated updated some source files with re-generated wxSmith
	  content (no functional change!)

2010-01-23 13:27  mortenmacfly

svn6105:  - updated broken images
	  - updated revision script (was down-graded through merge?!)

2010-01-23 12:56  mortenmacfly

svn6104:  * merged scintilla branch into trunk. this brings a lot
	  now core features
	  * all changes from scintilla since v1.7 (like virtual space, more
	  lexers, dynamic lexer loading...)
	  * use wxScollingDialog to avoid large size dialogs not fitting
	  into a certain (small) screen resolution
	  - (for more details see changelog in the scintilla branch)

2010-01-23 09:08  mortenmacfly

svn6100:  * CC: another bug fix for parsing class names :-/
	  
	  
	  - CC: added updated wxSmith resource file, too (forgotten on last
	  commit)
	  
	  
	  - CC: some code clean-up

2010-01-22 13:45  jenslody

svn6099:  * fix a bug where local workspace was parsed as global
	  instead of local

2010-01-19 11:08  mortenmacfly

svn6091:  * CC: fixed bug with not parsing function arguments
	  anymore (thanks OllyDbg)
	  * CC: added support for global namespace (thanks blueshake)
	  * CC: handle extern keyword more intelligent (fixing missing STL
	  statements) (thanks blueshake)
	  * CC: debugger tool shows more info (flags missing so far)
	  - CC: fixed some compiler warnings

2010-01-19 06:00  jenslody

svn6090:  - IncrementalSearch-plugin: comment out unneeded
	  debug-message

2010-01-17 02:40  biplab

svn6089:  * Fixed: [Bug #15912] AStyle removes debugger break
	  points.

2010-01-15 13:16  mortenmacfly

svn6088:  - some case fixes for labels

2010-01-15 12:08  mortenmacfly

svn6087:  * allow macros in default code

2010-01-14 16:01  mortenmacfly

svn6086:  * fixed a bug with accelerator being used twice (thanks
	  daniloz)
	  * CC: fixed bug with skipping to wrong character (thanks OllyDbg)
	  * CC: fixed bug with enabling parse while typing (thanks
	  blueshake)

2010-01-14 08:22  mortenmacfly

svn6085:  * applied (modified) patch #2861: New sharedlib project
	  - choose between c and c++

2010-01-14 08:15  mortenmacfly

svn6084:  * compiler: applied patch #2897: PrependDir() can be
	  used for single directory only
	  * compiler: applied patch #2877: CompilerOptionsDlg acesses tabs
	  that don't exist
	  * compiler: LCC compiler supports new registry key for
	  auto-detection

2010-01-13 15:23  biplab

svn6083:  * wx-2.9 migration: Build fixes for HexEditor.cpp

2010-01-13 13:52  mortenmacfly

svn6082:  * CC: made parsing while typing ("real time parse") an
	  option as it slows down the IDE massively for large projects
	  * CC: remove some crash candidates
	  - CC: some code clean-up and optimisations

2010-01-13 11:17  mortenmacfly

svn6081:  * CC: added missing replace to initialisation
	  * CC: allow using a non-alphanumeric replace token
	  * CC applying patch by OllyDbg to handle template arguments
	  better
	  - CC: some code clean-up

2010-01-12 16:41  biplab

svn6080:  * Fixed: Compiler warning.

2010-01-12 16:40  biplab

svn6079:  * wx-2.9 migration: Build fixes for
	  projectfileoptionsdlg.cpp

2010-01-12 15:39  biplab

svn6078:  * Added: Build fixes necessary to compile with wx-2.9.

2010-01-11 16:01  biplab

svn6077:  * StlPort wizard: Added linker option to enable
	  thread-safe exception handling on Windows.

2010-01-11 15:59  biplab

svn6076:  * Ogre wizard: Added linker option to enable
	  thread-safe exception handling on Windows.

2010-01-11 15:56  biplab

svn6075:  * Plugin wizard: Added linker option to enable
	  thread-safe exception handling on Windows.

2010-01-11 15:54  jenslody

svn6074:  * fix for automake system: the bootstrap-script wrongly
	  determined automake 1.11.1 as version below 1.7 and stopped build
	  with an error (see
	  http://forums.codeblocks.org/index.php/topic,11828.msg80247.html#msg80247
	  for details).

2010-01-11 15:37  biplab

svn6073:  * Enabled (for share_config plugin): Linker option to
	  use thread-safe exception handling on Windows. See the following
	  link for more details
	  (http://gcc.gnu.org/onlinedocs/gcc-4.4.2/gcc/i386-and-x86_002d64-Options.html#i386-and-x86_002d64-Options)

2010-01-11 15:35  biplab

svn6072:  * Enabled (for contrib plugins): Linker option to use
	  thread-safe exception handling on Windows. See the following link
	  for more details
	  (http://gcc.gnu.org/onlinedocs/gcc-4.4.2/gcc/i386-and-x86_002d64-Options.html#i386-and-x86_002d64-Options)

2010-01-11 15:31  biplab

svn6071:  * Enabled: Linker option to use thread-safe exception
	  handling on Windows. See the following link for more details
	  (http://gcc.gnu.org/onlinedocs/gcc-4.4.2/gcc/i386-and-x86_002d64-Options.html#i386-and-x86_002d64-Options)

2010-01-11 10:18  mortenmacfly

svn6070:  * CC: fixed a bug with arguments not being handled
	  correctly for typdef'd function pointers (tokens tree had invalid
	  entries)

2010-01-11 08:46  mortenmacfly

svn6069:  - cc: tokens tree dump: show a busy info dialog as
	  computation may really take some time and UI is locked.

2010-01-11 08:35  mortenmacfly

svn6068:  * cb_share_config: updated to include token
	  replacements of CC, too

2010-01-10 15:56  biplab

svn6067:  * Reverted: GCC Version check was disabled on
	  Linux/Mac. Now it is disabled while compiling with wx-2.9 across
	  all platforms.
	  * Fixed: [Bug #16643] SetupIncludeDirs fails to detect gcc4+

2010-01-10 08:04  biplab

svn6066:  * Fixed: Window size of dlgAbout.

2010-01-10 00:01  jenslody

svn6065:  - ThreadSearch-plugin: use different images for toggled
	  buttons, it's easier to determine the state (on windows);
	  support macro-replacement for directories to search in

2010-01-09 19:05  mortenmacfly

svn6064:  * CC: added ability to save tokens tree, list of files
	  and include dirs through CC debug window

2010-01-09 18:04  biplab

svn6063:  * Fixed: [Bug #16562] -mthreads not set when linking
	  wxWidgets project

2010-01-09 17:14  biplab

svn6062:  * Fixed: Crash-candidate in openfileslistplugin.cpp

2010-01-07 12:04  mortenmacfly

svn6058:  * CC: fixed bug with wrong file being returned reported
	  here:
	  http://forums.codeblocks.org/index.php/topic,11800.msg80076.html#msg80076
	  - CC: massive code clean-up to remove old artifacts

2010-01-06 07:00  mortenmacfly

svn6057:  - CC: added some more debug information to nativeparser
	  to debug SmartSense

2010-01-05 16:10  mortenmacfly

svn6056:  * CC: removing another possible crash candidate
	  * CC: decreasing memory foot print of token tree
	  - CC: some code clean-up, adding some more debug information

2010-01-05 14:52  mortenmacfly

svn6055:  - cc: fixed a possible crash candidate

2010-01-05 12:39  jenslody

svn6051:  - IncrementalSearch-plugin: changed text in conf-dialog

2010-01-05 11:37  jenslody

svn6050:  * IncrementalSearch-plugin: implement shortcut for
	  backward-search (SHIFT+ENTER);
	  add option to highlight the text in the toolbar if it gains focus
	  via menu or shortcut (configurable);
	  remember cursor position in the toolbar's textcontrol

2010-01-05 09:08  mortenmacfly

svn6049:  - fully document all C::B patches for scripting
	  (squirrel)

2010-01-04 22:19  jenslody

svn6048:  - fix some more compiler warnings

2010-01-04 21:40  jenslody

svn6047:  - avoid compiler warnings on 64-bit linux

2010-01-04 21:40  jenslody

svn6046:  - avoid compiler warnings on 64-bit linux

2010-01-04 19:10  mortenmacfly

svn6045:  * CC: applied patch by blueshake: "real time parse"
	  * CC: applied patch by ollydbg: "better handling of typedef"
	  * CC: fixed bug in argument handling
	  * CC: fixed bug with token replacements
	  - CC: some code clean-up and removal of compiler warnings, some
	  more debug info

2010-01-04 13:52  biplab

svn6044:  * Fixed: Couple of compiler warnings.

2010-01-04 13:51  biplab

svn6043:  * Fixed: Couple of compiler warnings.

2010-01-04 11:24  jenslody

svn6042:  - another small fix to new scripting engine to avoid a
	  compiler warning on 64-bit linux

2010-01-04 10:41  mortenmacfly

svn6041:  - some more tiny adjustments to new scripting engine to
	  avoid compiler warnings

2010-01-04 09:37  biplab

svn6040:  * Fixed: Broken build due to missing code from
	  pre-processor section. (Thanks Jens for pointing this).

2010-01-04 09:34  biplab

svn6039:  * Fixed: Broken build by reintroducing C::B specific
	  patch. (Thanks Morten for pointing this)

2010-01-03 15:25  biplab

svn6035:  * Squirrel Update (Part 2 - Final part)
	  - Updated: Squirrel to version 2.2.4
	  - Added: Necessary fixes to build on Win 64 bit platform.

2010-01-03 15:19  biplab

svn6034:  * Squirrel Update (Part 1)
	  - Updated: Squirrel to version 2.2.4
	  - Added: Necessary fixes to build on Win 64 bit platform.

2010-01-03 13:02  biplab

svn6033:  * Fixed: Warning due to use wxString:find

2010-01-03 12:41  biplab

svn6032:  * Fixed: Build with wx-2.9

2010-01-03 05:57  biplab

svn6031:  * Fixed: Inconsistent line ending.
	  * Fixed: svn-propset.

2010-01-03 05:54  biplab

svn6030:  * Fixed: svn-propset.

2010-01-03 05:29  biplab

svn6029:  * Fixed: Inconsistent line ending.
	  * Fixed: svn-propset.

2010-01-03 05:27  biplab

svn6028:  * Fixed: svn-propset.

2010-01-03 05:25  biplab

svn6027:  * Fixed: svn-propset.

2010-01-03 05:23  biplab

svn6026:  * Fixed: svn:eol-style set to native.

2010-01-03 05:16  biplab

svn6025:  * Fixed: svn:eol-style set to native.

2010-01-03 05:15  biplab

svn6024:  * Cosmetic Fix: Build-date-time stamp will now show 32
	  bit/64 bit depending on the build.

2010-01-02 15:05  killerbot

svn6023:  - further support for new xml style (xwhat/text) for
	  Valgrind 3.5.0

2010-01-02 14:31  killerbot

svn6022:  * Valgrind plug-in : support Valgrind 3.5.0

2010-01-02 08:20  killerbot

svn6021:  - include fix

2010-01-01 12:55  jenslody

svn6016:  * fix incorrect use of wxString::find() instead of
	  wxString::Find() in two cases.

2009-12-31 22:12  jenslody

svn6015:  * cc-plugin: (hopefully) final fix for a crash-candidat
	  in ClassBrowserBuilderThread

2009-12-31 21:44  jenslody

svn6014:  * fix an issue, where plugins can not be found on some
	  64-bit linux systems, see
	  http://forums.codeblocks.org/index.php/topic,8755.msg78525.html#msg78525
	  for details

2009-12-31 21:16  jenslody

svn6013:  * cc-plugin: fix for a crash-candidat in
	  ClassBrowserBuilderThread

2009-12-31 17:09  mortenmacfly

svn6012:  * applied patch #2892: Array index out of range

2009-12-31 17:03  mortenmacfly

svn6011:  * applied patch #2887: Parserthread doesn't check if
	  the file exists

2009-12-31 17:02  mortenmacfly

svn6010:  - applied patch #2893: Use file types from dynamic
	  groups instead of hard-coded file types

2009-12-31 16:59  mortenmacfly

svn6009:  * applied patch #2874: OnPageChanged called upon
	  creation

2009-12-31 16:54  mortenmacfly

svn6008:  * applied patch #2891: Check return value of
	  wxEncodingConverter::Init()

2009-12-31 16:25  mortenmacfly

svn6007:  * applied patch #2881: Remove the wizardry that loads
	  CBTreeCtrl

2009-12-31 16:22  mortenmacfly

svn6006:  * applied #2866: Make wildcard select in multiselectdlg
	  work properly

2009-12-31 16:21  mortenmacfly

svn6005:  * applied patch #2833: regex testbed refinements

2009-12-31 16:15  mortenmacfly

svn6004:  - tiny formatting adjustments

2009-12-31 16:10  mortenmacfly

svn6003:  - tiny fix to patch #2864

2009-12-31 16:07  mortenmacfly

svn6002:  * applied patch #2854: Added macro
	  $(TARGET_COMPILER_DIR) to macrosmanager

2009-12-31 16:05  mortenmacfly

svn6001:  * applied patch #2842: Switcher dialog: Mouse wheel
	  support and hot tracking

2009-12-31 16:00  mortenmacfly

svn6000:  - tiny fix to patch #2803

2009-12-31 14:56  mortenmacfly

svn5999:  * applied patch #2884: Don't call Expand on wxTreeCtrl
	  with wxTR_HIDE_ROOT

2009-12-31 14:55  mortenmacfly

svn5998:  * applied (modified) patch #2880: Open clipboard before
	  its use

2009-12-31 14:54  mortenmacfly

svn5997:  * applied patch #2878: Different type used in xrc and
	  XRCCTRL

2009-12-31 14:52  mortenmacfly

svn5996:  * applied patch #2876: Global variable dialog array
	  access fixes

2009-12-31 14:51  mortenmacfly

svn5995:  * applied patch #2875: EditorManager accesses invalid
	  page

2009-12-31 14:50  mortenmacfly

svn5994:  * CC: applied patch #2868: Try harder when opening
	  includes from context menu

2009-12-31 14:47  mortenmacfly

svn5993:  * applied patch #2867: Try harder when searching file
	  with compilation error

2009-12-31 14:44  mortenmacfly

svn5992:  - applied patch #2864: Remove extra whitespace from
	  keyword list

2009-12-31 14:43  mortenmacfly

svn5991:  - compiler: applied patch #2863: Variable name
	  description for custom makefile

2009-12-31 14:42  mortenmacfly

svn5990:  * CC: applied patch #2856: parserthread accesses memory
	  behind the end of the string

2009-12-31 14:30  mortenmacfly

svn5989:  * applied patch #2803: faster header source swapping

2009-12-29 15:12  biplab

svn5988:  * Pre-processor fix: To enable compilation with a
	  non-GCC compiler.

2009-12-29 08:24  killerbot

svn5987:  - remove warnings (thanks stahta01)

2009-12-18 12:06  jenslody

svn5986:  - wxSmith: added wxEVT_COMMAND_SLIDER_UPDATED to
	  wxSlider

2009-12-18 12:03  jenslody

svn5985:  * workaround for a problem where the indicators used by
	  HighlightOccurrences and IncrementalSearch hide the text if
	  wxWidgets is build without rawbitmap support

2009-12-17 17:27  mortenmacfly

svn5984:  * scripted wizard plugin: tiny fix to new DX wizard so
	  it should work with DX devpak, too

2009-12-17 13:23  mortenmacfly

svn5983:  * updated direct/x wizard to be compatible with DX8 and
	  DX9

2009-12-16 10:27  mortenmacfly

svn5980:  * added missing EditorReuser class to filemanager which
	  also fixes issues with CC as of r5979

2009-12-15 11:58  mortenmacfly

svn5978:  * cc plugin: fixed bug with broken CC after e.g. a call
	  to a static member function within a local scope (thanks ollydbg)

2009-12-15 08:39  mortenmacfly

svn5977:  - cc plugin: fixed ooops.

2009-12-15 08:35  mortenmacfly

svn5976:  - cc plugin: some more code cleanup while hunting a bug

2009-12-15 07:40  mortenmacfly

svn5975:  * cc plugin: applied patch by blueshake to re-parse on
	  demand only
	  * cc plugin: applied patch by blueshake to get the function
	  tokens from the tokentree with filename directly based on
	  real-time parse
	  - cc plugin: a lot more useful trace information for the parser

2009-12-14 13:57  mortenmacfly

svn5974:  * cc plugin: applied patch by OllDbg: documentation and
	  TRACE macro usage
	  - cc plugin: removed some compiler warnings
	  - cc plugin: extended test cases

2009-12-11 16:09  pecan

svn5973:  BrowseTracker 1.2.92 2009/12/11
	  - Clear m_bProjectClosing in OnProjectOpened() else no initial
	  activation recorded after project closed.
	  - Fix JumpTracker inability to switch between editors (caused by
	  Editor Activation fix)

2009-12-10 14:50  mortenmacfly

svn5967:  * CCCC/CppCheck plugin: Allow choosing the executable
	  (if not in the path)
	  * CCCC/CppCheck plugin: Show a busy dialog as the call can take
	  several minutes

2009-12-09 14:24  jenslody

svn5966:  * fix an issue, where renaming a file can overwrite
	  existing files, if the new filename is the same as the name of
	  the existing file (windows-only)

2009-12-09 07:57  jenslody

svn5965:  * fix line-endings in debian-subfolder, added/changed
	  svn properties

2009-12-08 06:24  mortenmacfly

svn5961:  * updated wxContribItems "wxChart" and "wxThings" to
	  more recent versions

2009-12-06 01:01  jenslody

svn5954:  * non-pch build fixes

2009-12-05 16:24  biplab

svn5953:  * Fixed: Inconsistent line endings. svn:eol-style
	  changed to native.

2009-12-05 16:12  biplab

svn5952:  * Fixed: Inconsistent line endings. svn:eol-style
	  changed to native.

2009-12-01 13:06  mortenmacfly

svn5945:  * CC: added better handling of macros
	  * CC: better handling of templates
	  * CC: reduced time for re-parsing in the case of header/source
	  swapping
	  * CC: removed possible crash candidate
	  * CC: better namespace handling for newer GCC 4 macros
	  - CC: better documentation (thanks OllyDbg)
	  - CC: class browser uses TRACE macro (thanks OllyDbg)
	  - CC: addded test case for function signatures

2009-11-30 15:55  pecan

svn5944:  BrowseTracker 1.2.90 2009/11/30
	  - Add Shutdown test to OnIdle
	  - OnCloseEditor, Activate the previously active edtor, not the
	  last tab; EditorManager::OnUpdateUI() used to do this.
	  wxAuiNotebook broke it.
	  - OnProjectClosing() ignore recording of closing editors;
	  OnProjectActivated() activate the current edtior for this project
	  (not last tab).
	  - Record last deactivated editor; OnEditorClose activate last
	  deactivated editor (vs. last tab)
	  - Fixed: loop in OnIdle() after svn 5939 changes

2009-11-29 16:42  killerbot

svn5943:  - little translation fixes

2009-11-27 08:37  biplab

svn5942:  * Cleanup: SVN propset for update.bat file.
	  svn:eol-style set to CRLF.

2009-11-27 08:34  biplab

svn5941:  * Cleanup: SVN propset for update script. svn:eol-style
	  set to LF.

2009-11-27 08:32  biplab

svn5940:  * Cleanup: SVN propset for openfilelist plugin.

2009-11-27 08:16  biplab

svn5939:  * Added: cbEVT_EDITOR_ACTIVATED event to be raised in
	  case an editor is activated programmetically.

2009-11-22 15:42  biplab

svn5938:  * Fixed: Update script for the following reasons-
	  1) CB_share_config is not build by default on Linux.
	  2) codesnippets is also not built by default. Copying it's binary
	  should be done by the update script accompanying it.

2009-11-22 14:21  biplab

svn5937:  * Fixed: Few discrepancies from unix project file.

2009-11-22 11:34  biplab

svn5936:  * Fixed: Deprecated Function call.

2009-11-22 08:49  killerbot

svn5935:  - adjust working directory in Cccc/CppCheck (thanks
	  Jens)

2009-11-22 06:56  jenslody

svn5934:  * IncSearch-plugin: added missing images (forgotten in
	  last commit)

2009-11-21 23:15  jenslody

svn5933:  * IncSearch-plugin: added regex-capabilities

2009-11-21 16:08  biplab

svn5932:  * Applied: [Patch #2812] compilergcc and debuggergdb
	  svn prop cleanup (files missed in previous commit)

2009-11-21 15:58  biplab

svn5931:  * Applied: [Patch #2812] compilergcc and debuggergdb
	  svn prop cleanup (Part 2 of 2)

2009-11-21 15:48  killerbot

svn5930:  - linker fix

2009-11-21 14:34  biplab

svn5929:  * Applied: [Patch #2812] compilergcc and debuggergdb
	  svn prop cleanup (Part 1 of 2)

2009-11-21 13:02  killerbot

svn5928:  - update to previous commit (copy/paste issue)

2009-11-21 12:57  killerbot

svn5927:  - fix typo

2009-11-21 12:55  killerbot

svn5926:  * Add CppCheck plug-in

2009-11-19 05:36  mortenmacfly

svn5925:  - reverted revert as is seems to cause issues on Linux
	  that need to be clarified.

2009-11-18 20:43  mortenmacfly

svn5924:  - reverted accidentally commit part of a patch

2009-11-16 10:27  mortenmacfly

svn5923:  - fixed missing include on linux

2009-11-16 10:04  jenslody

svn5922:  * fixed build-error introduced in last commit

2009-11-16 09:46  mortenmacfly

svn5921:  * fixed reproducible memory leak by applying patch
	  #2796 (thanks techy)
	  * added option to toggle read-only flag of a file (that belongs
	  e.g. to a version controlled to a project)
	  * applied some script bindings (thanks obfuscated)
	  - fixed spelling, missing include
	  - added Jens ;-)

2009-11-16 09:04  jenslody

svn5920:  * some small fixes for the update-script on linux

2009-11-15 19:13  killerbot

svn5919:  - update

2009-11-15 17:36  killerbot

svn5918:  - missed this one in previous commits

2009-11-15 17:31  killerbot

svn5917:  - update

2009-11-15 17:30  killerbot

svn5916:  - fix

2009-11-15 17:27  killerbot

svn5915:  * added CCCC (C and C++ Code Counter) plug-in

2009-11-14 18:48  killerbot

svn5914:  - fix typo

2009-11-13 05:47  mortenmacfly

svn5912:  - HexEditor plugin: added missing include path for
	  project file

2009-11-11 11:58  jenslody

svn5911:  * linux: workaround for a wxWidgets-bug, that makes
	  C::B crash if a floating window gets docked and composite effects
	  are enabled

2009-11-09 16:01  pecan

svn5908:  BrowseTracker 1.2.85 2009/11/9
	  - Fix crash when disabling plugins (in BuildMenu)

2009-11-09 14:42  jenslody

svn5907:  * codesnippets: file forgotten in last commit

2009-11-09 14:42  jenslody

svn5906:  * codesnippets: build-fix to avoid name-conflicts
	  between wxWidgets and newer glib-versions

2009-11-08 18:14  pecan

svn5905:  MouseSap 1.1.7 2009/11/8
	  - Change Paste() to SetTarget() for Linux

2009-11-08 17:14  pecan

svn5904:  MouseSap 1.1.5 2009/11/8
	  - Shift-MiddleMouse will paste over marked if cursor also in
	  marked area else insert pasted data.

2009-11-08 16:50  pecan

svn5903:  Codesnippets 1.3.118 2009/11/8
	  - FileImport.h for Linux

2009-11-08 16:49  jenslody

svn5902:  * wxScintilla: reduce flickering with newer gtk+ on
	  linux; thanks Eran for the patch

2009-11-08 16:39  pecan

svn5901:  CodeSnippets 1.3.118 2009/11/8
	  - re-enable context menu for editors.
	  - Added config option to turn off tooltips
	  - Added Save/Close to context menu and filtered entries
	  - Correct behavior of Close() for editor tabs in SEditorManager
	  - Import CB resouces when using external codesnippets folder
	  - Validate dir structure before saving .xml file
	  (SaveItemsToFile)
	  - Double click or Enter key on category expands or collapses tree
	  item
	  - Fix behavior of docked/floating window at OnRelease()
	  - Call macro replace only if text has some of "$%["
	  - Add support for vendors other than "codeblocks"
	  - Fix erroneous double entry into "Save Index As" routine
	  - Fix crash in SEditorManager::CheckForExternallyModifiedFiles();

2009-11-08 15:50  pecan

svn5900:  BrowseTracker 1.2.84 2009/11/7
	  - Corrections for Linux

2009-11-08 14:06  pecan

svn5899:  BrowseTracker 1.2.84 2009/11/7
	  - Set browse marks sorting flag in OnEditorActivated()
	  - Set BrowseSelector width window by filename width
	  - Added JumpTracker; record each activated cursor posn within a
	  half-page
	  - Activate previously active editor when secondary project
	  closes.

2009-11-03 12:11  jenslody

svn5895:  * fix an issue when compiling single c-files that does
	  not belong to a project: if the filename is quoted (spaces,
	  braces etc.) the file was treated as c++-file

2009-10-27 14:43  mortenmacfly

svn5892:  * AutoVersioning v1.4 (patch by JGM):
	  - Fixed ubuntu_style_version declaration now it is char string
	  instead of double.
	  - Added new tab of autoversioning editor named "Code"
	  - New support to declare header guard define.
	  - New support to declare namespace name.
	  - New support to add a prefix to all variables helping in c mode
	  like for example the DATE declaration found in other header files
	  the same name.

2009-10-26 16:20  mortenmacfly

svn5891:  * added i18n script for Windows

2009-10-26 15:22  jenslody

svn5890:  * ThreadSearch: skip empty files, otherwise we can get
	  a "Failed to open" errormessage.

2009-10-26 12:19  mortenmacfly

svn5887:  * fixed bug with search scope introduced with last
	  commit
	  - added more file type extensions to file properties dialog
	  - exported file name to workspace file is now always using
	  forward slashes

2009-10-26 11:01  mortenmacfly

svn5884:  * applied patch concerning consistency with labels (by
	  mariocup)

2009-10-22 10:00  mortenmacfly

svn5874:  * cc: applied patches by blueshake and ollydbg for
	  improved typedef handling

2009-10-21 21:45  jenslody

svn5873:  * do not remove doubles in the symbols-browser; they
	  reflect a parser issue, that can not be handled correctly by the
	  symbols browser, and removed doubles most likely contain
	  information that gets lost otherwise

2009-10-21 11:10  jenslody

svn5872:  * make creating the symbols-browser-tree much faster

2009-10-20 19:49  killerbot

svn5871:  - include fixes

2009-10-20 15:37  mortenmacfly

svn5870:  - cc: fixed tiny "bugs" in preprocessor test cases

2009-10-20 15:32  mortenmacfly

svn5869:  - cc: fixed tiny "bugs" in preprocessor test cases

2009-10-20 15:25  mortenmacfly

svn5868:  - cc: added test cases for preprocessors (macros)

2009-10-20 08:34  mortenmacfly

svn5867:  - CC: applied patch by ollydbg: TRACE macro
	  * HexEdit: applied patch by danselmi: correct editor name
	  - profiler: fixed tiny bug with showing progress bar every 10
	  times
	  * AVR wizard: applied patch by danselmi: add more processor
	  types, add post build commands
	  * todo: fixed bug with comparing priority (sorting by priority)
	  - app: do not use m_NoXXX variables, use m_XXX instead. A
	  statement liek if (m_XXX) is easier to read than if (!m_NoXXX)
	  * app: re-enable logging to see errors if running C::B in safe
	  mode

2009-10-17 07:03  killerbot

svn5866:  - remove warning

2009-10-15 19:21  jenslody

svn5865:  * swap header/source: fixed a bug, that made C::B no
	  longer ask whether a non-existant file should be created

2009-10-14 17:23  killerbot

svn5864:  - fix for the changed gdb startline

2009-10-11 13:17  mandrav

svn5862:  * inline files (.inl) are now treated as headers
	  instead of as sources (aka no compile/link by default).

2009-10-11 11:50  biplab

svn5861:  * wx-2.9 migration: Fixes for
	  codecompletion/nativeparser.cpp

2009-10-11 11:49  biplab

svn5860:  * wx-2.9 migration: Fixes for parser/parserthread.cpp

2009-10-09 06:49  mortenmacfly

svn5857:  - cc: updated test cases concerning typedefs/structs

2009-10-09 06:13  mortenmacfly

svn5856:  * cc: fixed a bug pasring function arguments
	  (introduced in r5845)
	  - cc: some code cleanup

2009-10-09 05:30  mortenmacfly

svn5855:  * cc: better tooltip (thanks blueshake)
	  - cc: added more tests for typedefs, added tests or function
	  arguments

2009-10-09 05:26  mortenmacfly

svn5854:  * profiler: applied (modified) patch of nausea, less
	  CPU usage, enhanced usability
	  * profiler: added support for unused functions and static call
	  graph
	  - profiler: As execution of gprof might be really lengthy, show a
	  busy dialog at least so the user knows *something* is happening

2009-10-07 07:03  mortenmacfly

svn5852:  - CC: added (simple) STL test cases

2009-10-07 06:56  mortenmacfly

svn5850:  * applied (modified) patch #2803 - faster header source
	  swapping (thanks techy)
	  - outsourcing of code not belonging to editormanager into
	  projectmanager (locate files in a project)

2009-10-07 06:46  mortenmacfly

svn5849:  * CC: introduced TRACE macros (thanks ollydbg)
	  - CC: some refactoring concerning readability, preparation of STL
	  support in GCC4 (currently disabled)

2009-10-06 15:31  mortenmacfly

svn5848:  * CC: removed problematic code that causes crash. code
	  was part of typedef parser but not needed anymore.

2009-10-06 11:02  thomasdenk

svn5847:  - Removed some "undo noise" from comment tidier and
	  random generator plugins

2009-10-06 08:52  mortenmacfly

svn5846:  - CC: added testing projects (and a workspace) for CC

2009-10-06 08:11  mortenmacfly

svn5845:  - CC: show message in the debug log if probably too
	  much code was skipped (probably a parser error therefore)
	  - CC: make debugging smartsense work again
	  - CC: code clean-up

2009-10-05 21:28  jenslody

svn5844:  * removed unnecessary code

2009-10-05 12:01  mortenmacfly

svn5840:  - CC: tiny fixes (rename obsolete wx1 methods, fix
	  brackets mismatch in logic)

2009-10-05 07:32  mortenmacfly

svn5838:  - CC: better handling of typedefs and escaped chars
	  (blueshake & ollydbg)

2009-10-01 15:44  mortenmacfly

svn5834:  * fixed a compilation error

2009-10-01 13:30  mortenmacfly

svn5833:  - CC: code cleanup
	  - tab2spaces for better alignment
	  - remove images from project files for better sync

2009-10-01 06:48  mortenmacfly

svn5832:  * CC: applied patch by ollydbg concerning operator
	  handling in nativeparser

2009-09-30 21:16  jenslody

svn5827:  * editormanager and infopane: force selection of the
	  tab that sends a tab-context-menu-event, to make sure this event
	  is handled correctly

2009-09-29 14:52  mortenmacfly

svn5826:  * CC: completing structs enhancedments, thanks ollydbg
	  * CC: do not create files while setting up include dirs for
	  parser (GCC only), thanks ollydbg
	  - CC: some native parser refactoring and cleanup

2009-09-28 08:15  jenslody

svn5825:  * fix a possible endless-loop in tokenizer.cpp

2009-09-27 09:54  jenslody

svn5824:  * make program's arguments and host-applications of the
	  contrib-plugins more consistent

2009-09-27 09:51  jenslody

svn5823:  * add xterm to the suggested packages for debian

2009-09-27 09:50  jenslody

svn5822:  * make ThreadSearch use wxCustomButton as toggle-button
	  in SearchIn-panel instead of wxBitmapButton; set dependencies
	  between projects in contrib-plugins-workspace where it is needed

2009-09-25 06:53  mortenmacfly

svn5819:  * fixed a typo in CC (thanks ollydbg)

2009-09-24 14:16  mortenmacfly

svn5818:  - a tiny fix to blueshake's brackets handling

2009-09-24 10:53  mortenmacfly

svn5817:  - fixed CC bug with anonymous namespaces (thanks
	  blueshake)
	  - fixed general parser bug

2009-09-21 18:18  mortenmacfly

svn5816:  - ThreadSearch: Another crash fix by yesno (hopefully
	  the last candidate...). Thanks!

2009-09-21 11:14  jenslody

svn5815:  * build fix

2009-09-21 09:43  mortenmacfly

svn5814:  - ThreadSearch plugin: applied fixes by yesno
	  - welcome the new start page

2009-09-19 09:43  jenslody

svn5813:  * fix for internationalization

2009-09-18 22:40  jenslody

svn5812:  * linux build fix for new ThreadSearch images

2009-09-18 17:22  jenslody

svn5811:  * fix a possible crash-candidate

2009-09-18 12:21  jenslody

svn5809:  use trashcan instead of ugly "x" for "delete
	  project/file from history" link on startherepage

2009-09-17 06:38  mortenmacfly

svn5800:  - wxSmithAui: fixed some compiler warnings reported by
	  rhf

2009-09-16 13:17  mortenmacfly

svn5797:  - fixed ThreadSearch documentation generation
	  - fixed some compiler warnings with GCC4

2009-09-16 12:09  mortenmacfly

svn5796:  * applied patch #2778: wx 2.9 Migration Patch to
	  wxSmith Plugin
	  - added "apply all" to borders in wxSmith managed windows for
	  easier handling

2009-09-15 11:19  jenslody

svn5790:  * fixed a build-bug in ThreadSearchView.cpp, that
	  slipped in with commit 5785

2009-09-15 09:27  mortenmacfly

svn5785:  * applied nice ThreadSearch patch that makes TS smaller
	  and more feasible for small monitor resolutions
	  * added filtering of compiler messages (in compiler options) ->
	  C::B can now skip messages with a certain string(s) in it
	  * updated code snipptes internal editor to be in sync with C::B
	  one
	  - fixed a few inconsistent includes in code snippets and browse
	  tracker
	  - removed some more #cb
	  - applied icon pack I got from (???) -> looks REALLY great. Get
	  used to it. (probably we'll later provide the old one as
	  additional download)
	  - updated project files to be more consistent
	  - another tiny fix to CC

2009-09-14 21:04  jenslody

svn5784:  * fixed a folding issue in split-view reported by
	  danselmi: a folded line in the second editor was always shown
	  underlined

2009-09-14 15:17  mortenmacfly

svn5783:  * applied (modified) patch #2162: add/remove file
	  to/from active project (thanks olipfei)
	  * applied (modified) patch #2745/2746: improve bracecompletion
	  (thanks danselmi)
	  * applied (modified) patch #2715: Link for deleting history items
	  from "start here" page (thanks arrowdodger)
	  * applied (modified) patch #2815: Remove file from project when
	  the delete key is pressed (thanks tpetrov)
	  * fixed a bug detected by killerbot/jens concerning new code
	  completion
	  * made logmanager more solid against invalid API usage (which
	  unfortunately happens through 3rd party plugins resulting in a
	  crash of C::B)
	  - fixed annoying compiler warning message in tinyxml
	  - fixed some project files not to use #cb for debugging w/ IPC
	  - made a global function in filemanager a private, local one (no
	  need to be global anymore)
	  - re-ordered projects in plugin workspace alphabetically
	  - updated doxygen SDK documentation file

2009-09-14 14:29  biplab

svn5782:  * Applied: [Patch #2739] Occurence highlighting on
	  second (splitted) view.

2009-09-13 22:31  jenslody

svn5780:  * linux build fixes

2009-09-13 21:41  jenslody

svn5779:  * cb_console_runner (Linux): fixed an issue, that leads
	  to incorrect execution times on some (all?) systems; fixed an
	  issue with converting the return-value of the
	  system(...)-function (only tested on debian/ubuntu, hopefully
	  still works on other linuxes).

2009-09-13 21:32  jenslody

svn5778:  * AStyle-plugin: fix a bug that leads to swapped styles
	  (Linux and GNU), added Horstmann-style, fixed a possible
	  endless-loop.

2009-09-13 19:17  mortenmacfly

svn5777:  * cc plugin: tried fixing a bug reported by killerbot

2009-09-13 13:31  mortenmacfly

svn5770:  * merged with codecompletion_refactoring branch
	  * major update to code completion plugin: thanks a lot to
	  sbezgodov, blueshake, ollydbg, oBFusCATed and all I forgot.

2009-09-12 02:45  biplab

svn5761:  * wx-2.9 migration: Fixes for sdk/filemanager.cpp

2009-09-12 02:44  biplab

svn5760:  * wx-2.9 migration: Fixes for sdk/cbeditor.cpp

2009-09-11 16:29  biplab

svn5759:  * wx-2.9 migration: Fixes for wxsChart/wxschart.cpp

2009-09-11 16:27  biplab

svn5758:  * wx-2.9 migration: Deprecated function call fix for
	  wxchart/chartctrl.cpp

2009-09-11 16:24  biplab

svn5757:  * wx-2.9 migration: Fixes for
	  wxflatnotebook/wxFlatNotebook/src/wxFlatNotebook/wxFlatNotebook.cpp

2009-09-06 12:56  pecan

svn5755:  DragScroll 1.3.25 2009/09/6
	  - re-instate wxCHECK_VERSION(2, 9, 0) guards accidently removed.

2009-09-04 19:42  pecan

svn5754:  DragScroll 1.3.24 2009/09/4
	  - Fix crash when loading .cbp via dde. OnAppStartupDoneInit()

2009-09-02 09:20  jenslody

svn5753:  * MouseSap: remove not needed files

2009-09-01 05:28  jenslody

svn5752:  * MousSap: remove global cb-var and not (yet?) needed
	  files from windows project-file

2009-08-31 22:29  jenslody

svn5751:  * MouseSap: more linux build-fixes

2009-08-31 21:24  killerbot

svn5750:  - include casing fix

2009-08-31 21:22  killerbot

svn5749:  -temp disable a statement to first tru to get a good
	  build

2009-08-31 21:18  killerbot

svn5748:  - further build fixes

2009-08-31 21:09  killerbot

svn5747:  - build fix

2009-08-31 19:23  killerbot

svn5746:  * add MouseSap

2009-08-30 19:31  mortenmacfly

svn5745:  * astyle plugin: updated astyle core to a more recent
	  version (v1.23)
	  * astyle plugin: updated astyle optiond to include new onces, but
	  some are still missing (patches welcome)

2009-08-26 06:13  jenslody

svn5744:  * updated incremental-search to work in split view

2009-08-26 06:00  jenslody

svn5743:  * fix for cbEditor::GetControl(), so it always returns
	  the correct control in split-view

2009-08-24 11:21  jenslody

svn5742:  * DDE-/IPC-connection: fixed error that slipped in with
	  commit 5739

2009-08-24 07:24  mortenmacfly

svn5739:  * applied several fixes by techy (thanks)
	  * applied fixes to wxPDFDocument from wxCode project
	  - made browsetracker wxAuiNotebook friendly again
	  - some cosmetic (non-functional) fixes

2009-08-23 11:04  jenslody

svn5737:  * encoding-detection: use user-specified default for
	  pure ascii-text instead of system-default

2009-08-22 08:47  biplab

svn5735:  * Removed: Makefile.in from version control.

2009-08-22 08:43  biplab

svn5734:  * Removed: Makefile.in from version control.

2009-08-22 07:35  killerbot

svn5733:  * improvements to automatic brace completion

2009-08-22 06:32  biplab

svn5732:  Remove Makefile from version control

2009-08-15 03:48  biplab

svn5731:  * wx-2.9 migration: Removed deprecated function calls
	  from src/switcherdlg.cpp

2009-08-07 22:42  jenslody

svn5730:  * make detection of latin-2 encoding optional, because
	  it can break latin-1 detection due to their similarity; update
	  mozilla-based encoding-detection, comment out some code not
	  needed by C::B

2009-08-06 10:00  mortenmacfly

svn5726:  * fixed certain bugs in compiler version detection
	  (user defined compilers did not work at all)
	  - optimised PCH compilation command generation

2009-08-04 14:20  jenslody

svn5724:  * added parameter to disable DebugLog output of
	  encoding-detector, otherwise it can lead to a crash, if used from
	  inside a thread (like ThreadSearch does); fix ThreadSearch
	  accordingly

2009-07-31 06:22  mortenmacfly

svn5723:  - revert r5636 (due to issues, see here:
	  http://forums.codeblocks.org/index.php/topic,10912.0.html)

2009-07-30 09:59  afb

svn5722:  - fix VPATH builds

2009-07-29 23:08  jenslody

svn5721:  * fix an issue in ThreadSearchView, slipped in with the
	  encoding detection changes, that can lead to increasing
	  textbuffers

2009-07-29 06:16  mortenmacfly

svn5718:  * compiler: fixed crash with SDCC compiler, thanks
	  stahta01

2009-07-28 07:15  mortenmacfly

svn5717:  - compiler: support macros in extra path

2009-07-26 18:53  jenslody

svn5716:  * (nasty) hack to avoid a name-conflict between
	  wxWidgets GSocket and the one introduced in glib 2.21

2009-07-26 18:38  jenslody

svn5714:  - fix (don't translate the option name), this time in
	  C::B's sdk

2009-07-26 16:28  killerbot

svn5713:  - fix (don't translate the option name)

2009-07-25 22:33  jenslody

svn5712:  * fixes for ThreadSearch to use C::B's
	  encoding-detection

2009-07-25 13:20  jenslody

svn5710:  * precompile sdk.h, otherwise debugging on linux is
	  partly broken if gcc >= 4.3, see
	  http://forums.codeblocks.org/index.php/topic,10529.msg73835.html#msg73835

2009-07-25 13:09  jenslody

svn5708:  * avoid underlinking of wxSmithContribItems

2009-07-25 13:07  jenslody

svn5706:  * added version-guards for wxAuiToolbar in wxSmithAui,
	  because it was added to wxWidgets in 2.8.9 and breaks build on
	  some distros

2009-07-25 12:41  jenslody

svn5704:  * fixed a crash-candidate; corrected HitTest in
	  switcher-dialog

2009-07-23 11:34  jenslody

svn5701:  * added missing properties in editorcolourset's copy
	  constructor

2009-07-23 09:49  killerbot

svn5700:  * brace completion [applied patch 2746 ]

2009-07-23 06:17  jenslody

svn5698:  * make indendation-guide be shown in empty lines too,
	  thanks to ollydbg

2009-07-22 15:52  pecan

svn5697:  BrowseTracker 1.2.80 2009/07/22
	  - Call OnEditorActivated() from OnEditorOpened() because editors
	  actived by Alt-G, "Swap header/source", and "Recent files" have
	  no cbEditor associated in EVT_EDITOR_ACTIVATED, and
	  GetActiveEditor() returns NULL.
	  - Hack to find editor's project. Since wxAuiNotebook, the initial
	  EVT_EDITOR_ACTIVATED has no cbEditor or cbProject associated.

2009-07-20 15:28  killerbot

svn5696:  * indentation guide highlight

2009-07-17 21:48  jenslody

svn5694:  * fix a possible crash-candidat

2009-07-13 21:21  pecan

svn5693:  BrowseTracker 1.2.78 2009/07/13
	  - Fix activations by keyboard after wxAuiNotebook added.
	  - Sort browse marks in idle time.

2009-07-13 10:54  jenslody

svn5691:  * fix a background-coloring issue (not the whole
	  background was coloured, only bg containing characters, see
	  http://forums.codeblocks.org/index.php/topic,10810.msg74145.html#msg74145)

2009-07-12 15:43  jenslody

svn5689:  * fix broken context-menus in infopane; make sure that
	  starthere-page is hidden if a new project is created; replace
	  tabs with spaces

2009-07-07 09:51  jenslody

svn5686:  * added missing files in debian install-file (got lost
	  in merge); reverted 5683 (the files have been renamed in 5671 and
	  are therefore not missing)

2009-07-07 06:50  mortenmacfly

svn5683:  - added two missing images for gnome

2009-07-07 06:14  mortenmacfly

svn5682:  * merged wxfnb_to_wxaui branch to trunk (true merge!)
	  * do *not* use wxaui branch anymore!

2009-07-06 08:33  afb

svn5681:  - fix VPATH builds

2009-06-22 16:36  pecan

svn5679:  CodeSnippets 1.3.107 2009/06/22
	  - Add "Settings..." to plugin ">" button menu.
	  - Use APPDATA envar on first run since CodeBlocks returns "" for
	  config folder in OnAttach().

2009-06-21 03:23  biplab

svn5678:  * wx-2.9 migration: Removed deprecated function calls
	  from pdfxml.cpp.

2009-06-21 03:11  biplab

svn5677:  * wx-2.9 migration: Removed deprecated function calls
	  from pdffont.cpp.

2009-06-21 03:02  biplab

svn5676:  * wx-2.9 migration: Removed deprecated function calls
	  from pdfdoc.cpp.

2009-06-21 02:40  biplab

svn5675:  * wx-2.9 migration: Fixes for pdffont.cpp (Thanks Tim
	  S).

2009-06-21 02:35  biplab

svn5674:  * wx-2.9 migration: Fixes for Profiler Plugin (Thanks
	  Tim S).

2009-06-21 02:15  biplab

svn5673:  * wx-2.9 migration: Fixes for Lib Finder Plugin (Thanks
	  Tim S).

2009-06-20 16:46  biplab

svn5672:  * wx-2.9 migration: Removed deprecated function calls
	  from keybinder/menuutils.cpp.

2009-06-20 14:19  jenslody

svn5671:  * more changes to debian build system, should be now
	  lintian-clean, except for some guessed spelling errors; changed
	  name and install-dir of mimetype-icons; fixed a typo

2009-06-20 13:20  biplab

svn5669:  * wx-2.9 migration: Removed deprecated function call
	  from keybinder/keybinder.cpp.

2009-06-19 17:01  killerbot

svn5668:  - remove warning

2009-06-19 11:56  mortenmacfly

svn5666:  * headerfixup: Applied modified patch of the forums to
	  make the log more beautiful, some UI re-design

2009-06-19 10:52  mortenmacfly

svn5664:  - updated script console with a label so it looks like
	  the debugger's console

2009-06-19 07:24  mortenmacfly

svn5663:  * debugger: applied patch #2773 (UI to send command to
	  the debugger directly). Thanks oBFusCATed!
	  - couple of fixes, backport from wxaui branch

2009-06-19 07:24  mortenmacfly

svn5662:  * debugger: applied patch #2773 (UI to send command to
	  the debugger directly). Thanks oBFusCATed!
	  - couple of fixes, backport from wxaui branch

2009-06-19 06:50  mortenmacfly

svn5659:  - envvars plugin: wxWidgets 2.9.0 compatibility (thanks
	  stahta01)

2009-06-18 08:23  mortenmacfly

svn5657:  * fixed 2 possible crash candidates

2009-06-18 04:59  killerbot

svn5656:  - include fix

2009-06-17 21:34  jenslody

svn5654:  * fixed an issue that makes it impossible for
	  macrosmanager to recognize that the file in the active editor has
	  changed (see
	  http://forums.codeblocks.org/index.php/topic,10714.msg73420.html
	  for details)

2009-06-17 21:13  killerbot

svn5653:  * support vector debugging

2009-06-15 21:58  jenslody

svn5650:  * fix a crash when rebuilding from commandline or
	  windows-explorer, and all targets/projects are cleaned at once
	  before they get build

2009-06-14 11:32  jenslody

svn5648:  * 64-bit build-fix, nedded for new wxWidgets bindings

2009-06-12 22:03  jenslody

svn5645:  * fix a bug on some windwos-versions, where the
	  controls of a ListControlLogger remain partly visible after
	  removing the active logger

2009-06-11 07:59  mortenmacfly

svn5642:  - dialog optimisations

2009-06-11 07:58  mortenmacfly

svn5641:  - cb_share_config: added wxs files to distr

2009-06-11 07:57  mortenmacfly

svn5640:  - fixed a logic bug in equality operator

2009-06-11 07:57  mortenmacfly

svn5639:  - minor enhancement
	  - spelling correction

2009-06-11 07:56  mortenmacfly

svn5638:  * udpates to script bindings

2009-06-11 07:56  mortenmacfly

svn5637:  - make ESC key function for confirm replace dialog

2009-06-11 07:55  mortenmacfly

svn5636:  - replace macros for compiler options (thanks Biplab)

2009-06-11 07:54  mortenmacfly

svn5635:  - minor bug fix in indexing

2009-06-11 07:54  mortenmacfly

svn5634:  - fixed minor bug
	  - dialog optimisations
	  - apply smartindent only for languages supported

2009-06-11 07:53  mortenmacfly

svn5633:  - const correctnes

2009-06-11 07:51  mortenmacfly

svn5632:  * updated progrid in wxSmith (minor bug-fix, latest
	  1.2.x release)

2009-06-11 07:49  mortenmacfly

svn5631:  * applied patch#2651 Thanks a lot!

2009-06-11 07:12  mortenmacfly

svn5630:  * updated script bindings

2009-06-11 06:57  killerbot

svn5629:  - in preparation for vector debugging

2009-06-08 16:32  killerbot

svn5628:  - build fix

2009-06-08 16:26  killerbot

svn5627:  - build fix

2009-06-08 14:34  pecan

svn5626:  KeyBinder 1.0.48 2009/06/8
	  - If tree item is a sub-menu don't allow key assignment
	  - Change KeyBinder menu access from GetLabel() to
	  GetLabel().Trim() (wx2.8.9/10)

2009-06-08 14:31  pecan

svn5625:  -Adding CodeSnippets GenericMessageBox

2009-06-08 14:27  pecan

svn5624:  -Replaced home grown messagebox with
	  wxGenericMessageBox

2009-06-08 14:25  pecan

svn5623:  CodeSnippets 1.3.105 2009/06/8
	  - Set dragged textdata like dragged filename for FireFox3
	  - Replace home grown messageBox with wxGenericMessaageBox

2009-06-07 20:25  jenslody

svn5622:  * change the rebuild project behaviour similar to
	  rebuild workspace (update to r5492)

2009-06-05 09:23  thomasdenk

svn5621:  - Fixed "narrowing conversion of from 'unsigned int' to
	  'wxChar' inside { }" error (breaks build for gcc 4.4)

2009-06-04 14:02  killerbot

svn5620:  -little fix in CodeCompletion wrt Case Sensitivity

2009-06-02 15:38  biplab

svn5618:  * wx-2.9 migration: Removed deprecated function call in
	  src/splashscreen.cpp.

2009-06-01 16:54  pecan

svn5617:  CodeSnippets 1.3.103 2009/06/1
	  - Fix crash when backspace\cut after Ctrl-A (Thanks Loaden)

2009-05-31 16:00  biplab

svn5616:  * wx-2.9 migration: Temporarily disabled compiler
	  version detection with wx-2.9 to fix a lock-up during C::B
	  startup.

2009-05-31 14:40  jenslody

svn5615:  * added regex for linker-warning and another
	  linker-error; removed ipc.cpp and ipc.h from project-file,
	  because they are not used, but can lead to an internal compiler
	  error with gcc >= 4.3 on 64-bit systems

2009-05-30 21:30  killerbot

svn5614:  * don't show code completion tooltip when debugging [we
	  want to see the value tooltip in case it has been enabled]

2009-05-30 16:45  killerbot

svn5613:  - build fix (gcc 4.4)

2009-05-30 07:19  killerbot

svn5612:  * patch applied : don't show debugging value tooltip
	  when a context menu is open (will greatly improve the "right
	  click : Add" watch functionality)

2009-05-26 18:45  pecan

svn5611:  CodeSnippets 1.3.102 2009/05/26
	  - Remove app default.conf.cbtemp from /APPDATA/codesnippets in
	  OnClose;
	  - Correct .cbtemp name to .cbTemp for linux
	  - Don't raise focus when ::wxActiveWindow != CodeSnippetsTreeCtrl
	  in OnEnterWindow()

2009-05-17 22:06  jenslody

svn5607:  * debian build:
	  - reorganised debug-packages, needed after changing compatibility
	  level to 5,
	  because the codeblocks-dbg package became a little too large (40
	  MB):
	  codeblocks shared library is now in codeblocks-dbg,
	  added codeblocks-contrib-dbg package containing debug libraries
	  for
	  the contrib-plugins;
	  - moved codesnippets executable from codeblocks- to
	  contrib-plugins-package

2009-05-16 13:47  mortenmacfly

svn5604:  * compiler: added regex for "cannot open output file"
	  (TODO: Probably valid for other compilers, too?!)

2009-05-16 12:43  biplab

svn5603:  * wx-2.9 migration: Fixes for CompilerGCC plugin.

2009-05-16 08:42  biplab

svn5602:  * wx-2.9 migration: Removed deprecated function calls
	  from xtra_res.cpp.

2009-05-15 23:10  jenslody

svn5601:  * fix a possible compiler-warning

2009-05-15 22:43  jenslody

svn5600:  * change some debian files to be more compliant to
	  uptodate standards

2009-05-15 07:02  mortenmacfly

svn5599:  * debugger: send debugger events and react accordingly
	  (applied patch #2632)
	  - few consistency / style changes

2009-05-14 15:58  biplab

svn5598:  * wx-2.9 migration: Fixes for Help plugin.

2009-05-14 15:56  biplab

svn5597:  * wx-2.9 migration: Fixes for EnvVars plugin.

2009-05-14 15:54  biplab

svn5596:  * wx-2.9 migration: Fixes for CodeSnippets plugin -
	  removed deprecated functions calls, fixed several build
	  issues.(Portion thanks to Tim S.).

2009-05-14 15:47  biplab

svn5595:  * wx-2.9 migration: Fixes for CodeSnippets plugin
	  (Portion thanks to Tim S.).

2009-05-14 15:36  biplab

svn5594:  * wx-2.9 migration: Fixes for CodeSnippets plugin
	  (Thanks Tim S.).

2009-05-10 21:22  killerbot

svn5593:  - C++Ox for gcc option

2009-05-10 09:23  biplab

svn5592:  * Grouped: Close workspace menu item with other Close
	  menuitems.

2009-05-09 12:17  biplab

svn5591:  * wx-2.9 migration: Fixes for ByoGames plugin (Thanks
	  Tim S.).

2009-05-09 12:10  biplab

svn5590:  * wx-2.9 migration: Fixes for DevPak plugin.

2009-05-09 12:07  biplab

svn5589:  * wx-2.9 migration: Replaced deprecated code from
	  BrowseTracker plugin.

2009-05-05 15:41  biplab

svn5588:  * wx-2.9 migration: Fixes for DragScroll plugin.

2009-05-05 15:40  biplab

svn5587:  * wx-2.9 migration: Fixes for ThreadSearch plugin.

2009-05-03 21:37  jenslody

svn5586:  * added subversion and xsltproc to build-dependencies
	  for debian/ubuntu; run bootstrap in debian-buildprocess, if
	  needed; automatically update ChangeLog to HEAD in
	  debian-buildprocess by running updateChangeLog.sh

2009-05-03 19:23  killerbot

svn5585:  - remove a few warnings

2009-05-03 16:50  jenslody

svn5584:  * added libtool and automake to build-dependencies for
	  debian/ubuntu

2009-05-03 13:46  biplab

svn5583:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/projectsimporter.cpp.

2009-05-03 13:45  biplab

svn5582:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/msvcworkspaceloader.cpp.

2009-05-03 13:44  biplab

svn5581:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/msvcworkspacebase.cpp.

2009-05-03 13:43  biplab

svn5580:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/msvcloader.cpp.

2009-05-03 13:42  biplab

svn5579:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/msvc7workspaceloader.cpp.

2009-05-03 13:41  biplab

svn5578:  * wx-2.9 migration: Fixes for
	  src/plugins/projectsimporter/msvc7loader.cpp.

2009-05-03 10:49  biplab

svn5577:  * wx-2.9 migration: Fixes for
	  sdk/wxscintilla/src/PlatWX.cpp.

2009-05-03 06:25  biplab

svn5576:  * wx-2.9 migration: Fixes for
	  src/plugins/xpmanifest/windowsxplooknfeel.cpp.

2009-05-03 06:24  biplab

svn5575:  * wx-2.9 migration: Fixes for
	  src/plugins/scriptedwizard/wiz.cpp.

2009-05-03 06:21  biplab

svn5574:  * wx-2.9 migration: Fixes for
	  src/plugins/debuggergdb/gdb_commands.h.

2009-05-03 06:19  biplab

svn5573:  * wx-2.9 migration: Fixes for
	  src/plugins/debuggergdb/debuggergdb.cpp.

2009-05-03 06:18  biplab

svn5572:  * wx-2.9 migration: More fixes for
	  src/plugins/compilergcc.cpp.

2009-05-03 05:31  biplab

svn5571:  * wx-2.9 migration: Fixes for
	  src/plugins/codecompletion/nativeparser.cpp.

2009-05-03 05:29  biplab

svn5570:  * wx-2.9 migration: Fixes for
	  src/plugins/codecompletion/classbrowser.cpp.

2009-05-03 05:28  biplab

svn5569:  * wx-2.9 migration: Fixes for
	  src/plugins/codecompletion/ccdebuginfo.cpp.

2009-05-03 05:25  biplab

svn5568:  * wx-2.9 migration: Fixes for sdk/toolsmanager.cpp.

2009-05-03 05:21  biplab

svn5567:  * wx-2.9 migration: Fixes for sdk/compilerfactory.cpp.

2009-05-03 05:13  biplab

svn5566:  * wx-2.9 migration: Fixes for sdk/projectfile.cpp.

2009-05-03 03:47  biplab

svn5565:  * wx-2.9 migration: Fixes for sdk/compiler.cpp.

2009-05-03 03:45  biplab

svn5564:  * wx-2.9 migration: Fixes for sdk/cbworkspace.cpp.

2009-05-02 18:16  killerbot

svn5563:  - include fix

2009-05-01 14:54  biplab

svn5562:  * wx-2.9 migration: Fixes for
	  src/plugins/compilergcc.cpp.

2009-05-01 14:53  biplab

svn5561:  * wx-2.9 migration: Fixes for
	  src/plugins/compilerGDC.cpp.

2009-05-01 14:45  biplab

svn5560:  * wx-2.9 migration: Fixes for src/main.cpp.

2009-05-01 14:42  biplab

svn5559:  * wx-2.9 migration: Fixes for associations.cpp.

2009-05-01 14:41  biplab

svn5558:  * wx-2.9 migration: Fixes for app.cpp.

2009-05-01 13:28  biplab

svn5557:  * wx-2.9 migration: Fixes for cbProject.

2009-05-01 13:22  biplab

svn5556:  * wx-2.9 migration: Fixes for UserVarManager.

2009-05-01 13:12  biplab

svn5555:  * wx-2.9 migration: Fixes for ProjectManager.

2009-04-29 22:33  afb

svn5554:  * avoid division by zero, in wxSmith on macosx

2009-04-29 17:48  pecan

svn5553:  CodeSnppets 1 3.99 2009/04/29
	  - App: check for standalone execution before closing in OnClose()
	  to avoid error "can't delete default.conf.cbTemp"

2009-04-29 13:38  pecan

svn5552:  -BrowseTracker: add missing include quote

2009-04-29 08:55  afb

svn5551:  - fix make dist issue

2009-04-28 17:07  pecan

svn5550:  cbBrowseTrackr 1.2.76 2009/04/28
	  - Add include ConfigManager for linux

2009-04-27 16:08  biplab

svn5549:  * wx-2.9 migration: More fixes to SDK.

2009-04-27 15:51  biplab

svn5548:  * wx-2.9 migration: More fixes.

2009-04-27 15:49  biplab

svn5547:  * wx-2.9 migration: More fixes.

2009-04-27 15:46  biplab

svn5546:  * wx-2.9 migration: More fixes.

2009-04-27 13:14  pecan

svn5545:  CodeSnippets 1 3.98 2009/04/26
	  When passing URL, remove anything pass \r or \n
	  Fixed: A dragged URL item to a snippet did not save. CS did not
	  know it had changed.
	  Added zoom functions to Apps DragScroll class
	  Added GetCBConfigDir() to call routines that check for user
	  APPDATA var

2009-04-27 13:11  pecan

svn5544:  Added GetCBConfigDir() to call routines that check for
	  APPDATA var

2009-04-26 13:59  biplab

svn5542:  * wx-2.9 migration: wxFNB patch to use new event
	  handling system.

2009-04-21 16:00  biplab

svn5539:  * Fixed: [Bug #14424] It is not possible to create .pdb
	  file in Release version.

2009-04-20 03:52  jenslody

svn5538:  * added debian-subdir to main Makefile.am (for make
	  dist)

2009-04-19 15:14  biplab

svn5537:  * Fixed: [Bug #14969] profiler/cbprofilerexec.cpp:255:
	  Array index out of bounds

2009-04-14 15:25  biplab

svn5536:  * Applied: [Patch #2730] miss armelfgcc in the
	  common_functions.script (in modified form)

2009-04-13 14:47  biplab

svn5534:  * Modified: Code::Blocks configuration directory
	  retrieval code to make it portable on Windows.

2009-04-11 04:38  biplab

svn5532:  * Modified: make dist behaviour to generate source
	  tarball in .tar.bz2 format. This would reduce the size of tarball
	  by 15-20%.

2009-04-11 01:54  biplab

svn5529:  * Added: Makefile.in to exclude list in update.bat

2009-04-10 02:22  biplab

svn5527:  * Renamed: Original Makefile to Makefile.original and
	  added it to make dist.

2009-04-10 01:47  biplab

svn5526:  * Fixed: Make dist to include exchndl.dll file.

2009-04-10 01:45  biplab

svn5525:  * Fixed: Make dist to include autorevision.h header.

2009-04-08 16:13  biplab

svn5524:  * Fixed: Make dist in DevPak plugin.

2009-04-08 15:43  biplab

svn5522:  * Fixed: Make dist bug in Incremental Search plugin.

2009-04-05 16:37  jenslody

svn5520:  * Fixes for automake-system: make dist now includes
	  debian-subdir, copystrings and devpak_plugin; copystrings-plugin
	  (unix) can now be build with automake-system

2009-04-04 06:20  biplab

svn5519:  * Fixed: Non-PCH build (Thanks Tim for patch)

2009-04-04 04:16  biplab

svn5518:  * Reverted: Addition of CopyStrings and DevPak to make
	  dist. Some additional works needed.

2009-04-04 03:58  biplab

svn5515:  * Fixed: Broken make dist in IncrementalSearch plugin.

2009-04-04 03:53  biplab

svn5513:  * Fixed: Typo in last commit.

2009-04-04 03:38  biplab

svn5510:  * Fixed: Broken make dist in CodeSnippets plugin.

2009-04-04 03:34  biplab

svn5509:  * Fixed: Typo in last commit.

2009-04-04 03:24  biplab

svn5508:  * Fixed: CopyString and DevPak plugin were not included
	  by make dist.

2009-04-04 03:20  biplab

svn5505:  * Fixed: Broken make dist in (Compilergcc) plugin.

2009-04-03 18:16  killerbot

svn5504:  - include fix (build was broken)

2009-04-03 16:08  biplab

svn5503:  * Applied: Xaviou's patch for better translation of
	  C::B.

2009-04-03 15:45  biplab

svn5500:  * Fixed: Broken make dist.

2009-04-03 06:48  jenslody

svn5499:  * updated desktop-file for linux to be more standard
	  conform

2009-04-03 04:27  jenslody

svn5498:  * fixed a typo in last commit, thanks mmkider

2009-04-02 22:07  jenslody

svn5497:  * added the possibility to specify the execution
	  directory for custom makefiles, based on a patch of gryphon

2009-04-02 22:02  jenslody

svn5496:  * added the option to determine the width of horizontal
	  scrollbar automatically (see "Settings -> Editor -> Margin and
	  caret"), needed to scroll in very long lines

2009-03-31 18:25  killerbot

svn5495:  - gcc compiler option : -fomit-frame-pointer

2009-03-30 18:38  killerbot

svn5493:  - added -Wshadow warning option

2009-03-29 19:17  jenslody

svn5492:  * make the rebuild workspace-behaviour configurable:
	  default is to clean the entire workspace, before building the
	  projects/targets; alternatively the projects/targets will be
	  cleaned and buildone by one; see Compiler settings -> Other
	  settings

2009-03-29 11:23  jenslody

svn5491:  * fix a bug in codecompletion, that leads to a crash in
	  some cases

2009-03-29 10:35  jenslody

svn5490:  * readded include-path for tinyxml for byogames and
	  codesnippets on windows , otherwise build is broken with MinGW
	  3.4.5 shipped with 8.02 release

2009-03-27 21:56  mariocupelli

svn5489:  ThreadSearch:
	  - use icons search, options, searchdir for ThreadSearch settings

2009-03-14 18:41  jenslody

svn5486:  * remove #cb's in contrib plugins in our repo (windows)

2009-03-14 18:34  jenslody

svn5485:  * remove remaining #cb's in contrib plugins in our repo
	  (linux)

2009-03-14 17:59  jenslody

svn5484:  * avoid compiler warnings on linux

2009-03-11 16:29  jenslody

svn5483:  updated app.xpm to make C::B on linux use the same
	  (3d-)icon in titlebar as on windows

2009-03-08 14:00  jenslody

svn5482:  IncrementalSearch-plugin: fixed a bug that slipped in
	  with last commit

2009-03-07 20:42  jenslody

svn5481:  IncrementalSearch-plugin and HighlightOccurences: fixed
	  a bug when highlighting non-ASCII characters, like german umlauts
	  or chinese chars (both) or auto-selecting text found by IncSearch
	  when leaving toolbar with ESC (IncSearch)

2009-03-04 10:38  mortenmacfly

svn5480:  * project options: autoext. does not work correctly
	  (fixes bug #15341)

2009-03-03 20:56  killerbot

svn5477:  - compiler option (gdc/ming) for Intel Core2

2009-03-03 20:04  killerbot

svn5476:  - so first fundaments for pre/post remotedebugging
	  shell commands

2009-03-02 18:57  killerbot

svn5475:  - ansi build fix

2009-02-26 13:17  killerbot

svn5473:  make project notes window at load more intuitive

2009-02-26 08:29  jenslody

svn5472:  * non PCH build fix

2009-02-25 15:29  biplab

svn5471:  * Fixed: One build issue reported by Mephistopheles.

2009-02-25 10:05  killerbot

svn5470:  - compiler toolchain executables : trim trailing
	  whitespace [some users had an issue with this]

2009-02-22 10:24  jenslody

svn5469:  * enhanced handling of adding, deleting, duplicating
	  and renaming build targets in debugger-plugin, fixes a crash that
	  occurs if extra-commands or remote-debugging parameters are used
	  in a target, that should be deleted

2009-02-21 00:09  jenslody

svn5467:  - change some dialog texts in addition to last commit
	  (layout is renamed to perspective)

2009-02-20 23:30  mariocupelli

svn5466:  - rename menu View->Layout in View->Perspectives

2009-02-20 19:03  thomasdenk

svn5464:  - added daycount build variable

2009-02-20 06:37  mortenmacfly

svn5461:  * todo plugin: make plugin handle #warning and #error
	  correctly
	  - todo plugin: improve speed while searching for todo items;
	  minor code cleanup

2009-02-19 15:21  mortenmacfly

svn5459:  * todo plugin: actually make the plugin really (!)
	  remember new users/types (case sensitive)

2009-02-18 21:12  jenslody

svn5458:  * don't run postbuild-steps if we only clean the
	  project

2009-02-18 11:52  jenslody

svn5457:  * custom-makefiles: - changed clean-process, to show
	  output, if full commandline logging is turned on
	  - don't run prebuild-commands in clean-process

2009-02-14 11:03  byo

svn5456:  HexEdit: Fixed crashing internal test cases, caused by
	  using wxProgressDialog from other thread

2009-02-13 09:47  byo

svn5455:  HexEdit: Fix the fix (jay, I don't like to work in
	  hurry ;) )

2009-02-13 09:37  byo

svn5454:  HexEdit: Workaround missing memrchr on platforms other
	  than linux

2009-02-12 23:24  byo

svn5453:  HexEdit: (version 0.5 ready)
	  * Implemented backward search
	  * Few bugfixes

2009-02-12 23:21  byo

svn5452:  HexEdit: (version 0.5 ready)
	  * Implemented backward search
	  * Few bugfixes

2009-02-12 20:04  byo

svn5451:  HexEdit: Integrated internal search with normal
	  editor's search history

2009-02-12 00:30  byo

svn5450:  HexEdit: Implemented search support

2009-02-11 18:47  jenslody

svn5449:  * avoid unneded quotes in commands, otherwise using of
	  "konsole" unde KDE gets broken, fix for issue coming in with rev
	  5410

2009-02-08 04:40  biplab

svn5448:  * Added: Digital Mars C/C++ compiler Debug options,
	  Warning options, Optimization options & Exception options to
	  scripted wizard.

2009-02-07 12:15  biplab

svn5446:  * Fixed: [Bug #15121] PlatMacOSX.cxx:324: Mismatching
	  allocation and deallocation

2009-02-07 00:35  byo

svn5445:  HexEdit: Resolved problems related to 32-bit resolution
	  of scrollbar, HexEdit can now support files with size up to about
	  10^19

2009-02-07 00:34  byo

svn5444:  HexEdit: Resolved problems related to 32-bit resolution
	  of scrollbar, HexEdit can now support files with size up to about
	  10^19

2009-02-06 21:55  byo

svn5443:  HexEdit: Added support for files up to 2GB (unchanged
	  data is read directly from the file)

2009-02-06 21:54  byo

svn5442:  HexEdit: Added support for files up to 2GB (unchanged
	  data is read directly from the file)

2009-02-06 17:33  thomasdenk

svn5441:  - Use low fragmentation heap under Windows, for what
	  it's worth

2009-02-04 19:11  killerbot

svn5440:  - remove warnings

2009-02-04 15:35  mortenmacfly

svn5439:  - encoding detector: make fallback solution optional
	  (to explicitely force a user to enable the fallback solution)

2009-02-04 14:11  mortenmacfly

svn5438:  * encoding detector: using system locale as
	  backup-solution has returned
	  - encoding detector: added some more messages, helping to track
	  errors

2009-02-04 11:15  mortenmacfly

svn5437:  - encoding detector: better error description to find
	  the root of why a conversion has failed

2009-02-03 15:23  biplab

svn5436:  * Fixed: File can't be opened if "As Default encoding
	  ..." option is selected.
	  * Fixed: Non-PCH build (Thanks Tim S)

2009-02-02 19:11  byo

svn5435:  wxSmith: Fixed event names for wxListbook and
	  wxChoicebook (thanks goes for kencamargo for finding this)

2009-02-02 18:59  killerbot

svn5434:  - remove warning

2009-02-02 18:57  killerbot

svn5433:  - bugfix : && <--> || : thanks GCC for the nice
	  warnings :-)

2009-02-02 15:56  biplab

svn5432:  * Modified: Default encoding settings. Now user can set
	  an encoding as a Default one or as a fallback one.
	  * Fixed: [Bug #15158] Cannot open source code in F10

2009-02-01 22:02  killerbot

svn5431:  - updated warning settings

2009-01-31 23:50  jenslody

svn5429:  * fixed a crash, that happened when todo-list gets
	  disabled, when it is in message pane

2009-01-30 21:03  byo

svn5426:  HexEdit: Committed some WIP code for big files support
	  (reading from disk while editing), still disabled since not yet
	  tested well

2009-01-30 21:02  byo

svn5425:  HexEdit: Committed some WIP code for big files support
	  (reading from disk while editing), still disabled since not yet
	  tested well

2009-01-29 22:57  jenslody

svn5423:  * fixed error (margins initially not shown) , that came
	  in with last commit

2009-01-28 17:08  jenslody

svn5422:  * fix to make the editor respect margin masks set by
	  plugins like browsetracker,
	  fixes the blue-line-problem:
	  http://forums.codeblocks.org/index.php/topic,9998.msg69512.html

2009-01-27 20:27  jenslody

svn5421:  * Improvements and fixes for custom makefiles
	  build-system, especially for use of multiple custom makefile
	  projects in one workspace.
	  Based on a patch of gryphon.

2009-01-23 08:41  mortenmacfly

svn5419:  - do not use #cb in contrib plugins in our repo

2009-01-22 14:03  biplab

svn5418:  * Fixed: [Bug #15075] rename DoubleToString to avoid
	  conflict with wxWidgets (By applying [Patch #2653] rename
	  DoubleToString to avoid conflict with wxWidgets)

2009-01-22 08:26  mortenmacfly

svn5416:  - consistency with other files

2009-01-18 16:17  mortenmacfly

svn5414:  - SelectAll was still not using EditorBase (thanks
	  danselmi)

2009-01-17 16:23  biplab

svn5412:  * Fixed: codeblocks.desktop file.

2009-01-17 16:09  biplab

svn5411:  * IncrementalSearch: Removed use of global variables
	  from Unix project file to make it consistent with other project
	  files.

2009-01-17 13:39  jenslody

svn5410:  * avoid trailing quotes in some cases, use
	  "platform-dependent" quotes

2009-01-17 08:27  killerbot

svn5409:  - add missing include

2009-01-17 08:24  killerbot

svn5408:  - pch fixes

2009-01-16 20:32  jenslody

svn5407:  * fixed wxscintilla bug, that leads to not working
	  mousewheels on some systems. Thanks to DrewBoo.
	  Closes #15054

2009-01-16 15:36  mortenmacfly

svn5406:  * HexEdit plugin: Make use of new SaveAs API (thanks
	  danselmi)
	  - HexEdit plugin: Make sure the entry in the "File" menu is not
	  at the bottom :)

2009-01-16 13:49  mortenmacfly

svn5405:  - applied missing modifications for SaveAs patch

2009-01-16 09:38  mortenmacfly

svn5404:  - CC: allow "_" as first character in enums, too

2009-01-16 09:31  mortenmacfly

svn5403:  * allow raising batch-build dialog via icon (applied
	  patch from the forums)
	  * move SaveAs to EditorBase so that plugin can make better use of
	  it
	  * added CanSelectAll and SelectAll to EditorBase (suggested in
	  the forums for plugins)
	  * provide workspace built-in variables as macros (applied patch
	  from the forums)
	  * skip spaces before "=" and "," in tokenizer (suggested in the
	  forums)
	  * applied wxScintilla/D-lexer related patch (provided in the
	  forums)
	  * applied patch to realpath to fix problems with symlinks
	  (suggested in the forums)
	  - allow multiple selection when adding file(s) to a project
	  (suggested in the forums)
	  - use Mid instead of SubString for wxString (suggested in the
	  forums)
	  - check FileTreeData to be OK to avoid potential crashes in
	  compiler
	  - (probably) some logical fixed in CC parser (it can't harm ;-))

2009-01-15 12:28  thomasdenk

svn5402:  - Use MT19937 rather than rand() in C++0x mode

2009-01-15 06:36  jenslody

svn5401:  * corrected icon for "Edit -> Clear changes history"

2009-01-14 15:22  thomasdenk

svn5400:  - extended rndgen functionality, added test case

2009-01-14 06:55  jenslody

svn5399:  * expand variables, before trying to get the
	  version-string for gcc/MinGW-compilers

2009-01-14 06:52  jenslody

svn5398:  * updated copyright time to 2009

2009-01-12 23:13  jenslody

svn5397:  * Fixed bug in changebar.
	  Closes: #15029.

2009-01-12 22:46  jenslody

svn5396:  * if we are in batch-mode, no DDE/IPC-server should be
	  started

2009-01-12 16:20  killerbot

svn5395:  - few more warnings settings

2009-01-10 09:46  biplab

svn5394:  * Re-revert: Back to changes made in rev 5390. That
	  change is necessary.

2009-01-09 16:22  biplab

svn5393:  * Reverted: Commit in revision 5390. That change is not
	  necessary as -
	  1. SetVersionString() is not implemented for all compilers.
	  2. If the binary name is wrong, then it won't even work at all.
	  Thus no change in code is required. In case binary name is
	  different, an auto-detection would result in detecting proper
	  version string.

2009-01-09 13:34  thomasdenk

svn5392:  - Added auto-imagebase option where it was missing,
	  this should avoid relocations at startup

2009-01-08 20:09  jenslody

svn5390:  * set member-variable that holds the version-string,
	  after (!) we read the toolchain executables from configuration

2009-01-08 14:56  thomasdenk

svn5389:  - don't change lines that don't need it

2009-01-08 14:48  thomasdenk

svn5388:  - rndgen checkin

2009-01-07 17:18  jenslody

svn5386:  * fix possible crash-candidate in new
	  IPC/DDE-implementation

2009-01-05 21:24  killerbot

svn5382:  - extended the warning options some more

2009-01-04 04:49  biplab

svn5380:  * Fixed: Menu option "Clear history" looses icon in
	  File > Recent files & Recent projects.
	  * Fixed: Few menu items enable/disabling.

2009-01-03 19:31  mortenmacfly

svn5379:  - removed duplicate header guard of last commit

2009-01-03 16:00  jenslody

svn5375:  * renamed menu-entry for empty undo- and
	  changebar-buffer to "Clear changes history", function names are
	  changed accordingly

2009-01-03 10:30  jenslody

svn5374:  * added file missing in commit 5373

2009-01-03 09:21  mandrav

svn5373:  - merged "scintilla" branch to trunk (r5321-r5372).

2008-12-30 11:05  jenslody

svn5368:  * fixed the drag and drop-bug coming up with new
	  scintilla version

2008-12-28 16:47  killerbot

svn5367:  - no need for translation

2008-12-26 16:07  killerbot

svn5363:  - some more warning options for GCC

2008-12-26 15:48  killerbot

svn5362:  - update to previous commit, windows encoding got me

2008-12-26 15:34  killerbot

svn5361:   - little fix so that html log looks ok in browser
	  (quoting)

2008-12-25 14:18  jenslody

svn5356:  * get rid of another warning during build of C::B,
	  fixed a minor issue coming with scintilla merge

2008-12-25 14:07  killerbot

svn5355:  * some better warning options for GCC

2008-12-25 11:45  jenslody

svn5354:  * IncrementalSearch-plugin: some more changes that got
	  lost while merging with new scintilla-branch

2008-12-25 08:14  killerbot

svn5353:  - 1 further fix to makefile.am

2008-12-25 08:09  killerbot

svn5352:  - fix makefile.am (got broken due to merge ??)

2008-12-24 20:21  killerbot

svn5351:  - remove warning

2008-12-24 15:15  biplab

svn5350:  * Merged: MySQL lexer missed in last branch merging.

2008-12-24 13:48  biplab

svn5349:  * Fixed: Build warning under GCC-4.3.x

2008-12-24 13:36  mandrav

svn5348:  - merged "scintilla" branch to trunk (r5247-r5320).

2008-12-23 16:18  biplab

svn5347:  * Updated: Makefile.am to reflect changes in last
	  commit.

2008-12-23 16:14  biplab

svn5346:  * Removed: Unnecessary files from wxScintilla folder.

2008-12-22 08:46  thomasdenk

svn5345:  - Applied Byo's proposal for scroll-free replace

2008-12-21 23:29  jenslody

svn5344:  * make sure that masterpath and extrapath of a
	  toolchain executable come before default systempath in
	  executables environment, to avoid conflicts with different
	  versions with the same name

2008-12-21 22:30  dje

svn5343:  ThreadSearch: implemented the possibility to remove
	  search results from list or tree control.

2008-12-21 20:27  jenslody

svn5342:  * fixed bug in compiler autodetection for MinGW / gcc

2008-12-20 10:37  byo

svn5341:  HexEdit: Fixed calculation of address

2008-12-19 16:00  biplab

svn5340:  * Fixed: Build error under certain configurations (More
	  to follow).

2008-12-18 21:47  byo

svn5339:  HexEdit: Added ability to change the way columns count
	  is calculated

2008-12-17 06:04  jenslody

svn5338:  * added some missing headers to wxSmith's pkg-config
	  list

2008-12-14 22:03  daniel2000

svn5336:  - some fixes for jens' changes in rev5334
	  - missing header in makefile (for make dist)

2008-12-14 15:43  jenslody

svn5335:  * added missing contrib-plugin names in debian control
	  file

2008-12-14 00:07  jenslody

svn5334:  * changed directory-layout if codeblocks gets build
	  with automake, to make it more compliant with the "Filesystem
	  Hierarchy Standard"
	  * changed debian package-layout, to have arch-independent and
	  arch-dependent files in different packages
	  * added wxsmith development headers and a wxsmith conf-file for
	  pkgconfig
	  * added update-script for ChangeLog on linux-systems (needs to be
	  called manually at the moment)

2008-12-11 22:52  dje

svn5333:  * ThreadSearch plugin : implemented the possibility to
	  run many searches without deleting previous results.

2008-12-10 22:12  byo

svn5332:  HexEdit:
	  * Code refactoring to prepare better architecture for
	  parametrized views
	  * Added possibility to chage view modes: Bin/Hex, 1,2,4,8 Bytes,
	  Big-Endian/Little-Endian (in editor only, not yet in value
	  preview)
	  * Some svn properties update

2008-12-07 23:22  jenslody

svn5331:  * If "Auto show/hide message pane" has changed in
	  environment settings dialog, it will be used immediately (without
	  restart of C::B).
	  * After compiling with message "Nothing to be done." message pane
	  will be closed if "Auto show/hide message pane" is activated.
	  * Make sure that no deleted layouts remain in conf-file, if their
	  amount decreased.

2008-12-07 20:55  jenslody

svn5330:  * remember logger pane size when toggling with F2
	  (modified patch of elie)

2008-12-05 15:34  thomasdenk

svn5329:  - tidycmt plugin checkin

2008-12-05 08:00  ceniza

svn5328:  * Applied patch by danselmi to fix automatic
	  indentation after opening brace when the next non-white character
	  is a closing brace.

2008-12-02 22:43  jenslody

svn5327:  * really fixed a parsing error with stream operator
	  (<<) (see r5007)

2008-12-01 22:45  jenslody

svn5326:  * fixed wrong indexing of arrays in watches window, if
	  gdb shows multiple occurrences as one, with the addition
	  "<repeated xx times>"

2008-11-29 09:56  jenslody

svn5325:  * changed saving and restoring of colours for IncSearch
	  nad HighlightOccurrences to make better use of sdk

2008-11-27 20:13  mortenmacfly

svn5324:  - removed duplicate header guard of last commit

2008-11-26 10:58  thomasdenk

svn5323:  - Removed an unnecessary pointer per object in
	  blockallocator (yes, I occasionally write bad code, too)

2008-11-22 19:21  jenslody

svn5319:  * improved and corrected colour-setting for highlight
	  occurrences:
	  actual colour is preallocated,
	  colour will not be used if editor configuration dialog is closed
	  with cancel

2008-11-20 09:55  mortenmacfly

svn5317:  - avoid warning on 64 bit platforms
	  - editor: use constants for editor margins (improve readbility of
	  code)
	  - remove nasty tabs leading to misunderstanding (to MeMyselfAndI)

2008-11-18 13:02  mortenmacfly

svn5316:  * fixed "file save" and "file save all" 16x16 toolbar
	  images not disabling (wrong color depth of the images)

2008-11-18 11:14  mortenmacfly

svn5315:  - fixed "save all" toolbar icon not disabling
	  - renamed member infoPane to m_pInfoPane variable to match our
	  coding guidelines

2008-11-17 18:50  jenslody

svn5314:  * fixed some layout issues:
	  - text now fits inside editorconfiguration-dialog (again?)
	  General Settings -> Other options,
	  - in compilerconfiguration-dialog toolchain-tab is shown
	  correctly (also fixes that buttons sometimes have been cut off in
	  Build options) both happened mainly on linux,
	  - toolbars with standard-controls are now (always) shown
	  correctly with wxWidgets2.8.9 on windows,
	  - enabled firefox 2 style for notebooks

2008-11-16 00:09  mariocupelli

svn5312:  Editor:
	  * partially applied patch #2116: in wrap mode put cursor with
	  Home/end key at current wrap point

2008-11-11 15:45  killerbot

svn5309:  - some improvements/fixes for translations/ menu
	  entries

2008-11-11 15:28  killerbot

svn5308:  allow menu entry to be translated

2008-11-11 15:24  killerbot

svn5307:  - menu addition fixes : Project menu : Autoversioning
	  entires: search for "&Project"

2008-11-11 15:21  killerbot

svn5306:  - menu addition fixes : Search menu : search for
	  "Sea&rch"

2008-11-11 15:15  killerbot

svn5305:  - menu addition fixes : search for "&View"

2008-11-11 15:03  killerbot

svn5304:  - menu addition fix : BrowseTracker : seach for "&View"

2008-11-11 15:00  killerbot

svn5303:  - menu addition fix : projectsimporter : search for
	  "R&ecent files"

2008-11-11 14:50  killerbot

svn5302:  - menu addition fix : exporter : search for "Print..."

2008-11-11 14:46  killerbot

svn5301:  - HexEditor : fix menu addition (search for &Open...)

2008-11-10 19:15  ceniza

svn5300:  Help plugin:
	  * Fix: Search for "&Help", not "Help" (causes problems with
	  translations)

2008-11-09 14:59  jenslody

svn5299:  * scriptconsole: make the command execute by pressing
	  enter inside the combobox on windows, too. (On linux/gtk it
	  worked out of the box)

2008-11-08 20:45  mandrav

svn5298:  * Search in class browser works on windows now too.

2008-11-05 12:22  jenslody

svn5297:  * wxSmith: added missing style to wxComboBox

2008-11-02 16:49  jenslody

svn5296:  hopefully fixed crash on output parsing

2008-10-28 12:16  mortenmacfly

svn5295:  - debugger: fix misleading error message (compiler
	  options: "Programs" tab is now called "Toolchain executables")

2008-10-25 18:00  mortenmacfly

svn5293:  * partially applied patch #2162: Common
	  RemoveFileFromProject method (avoid a lot duplicate code)

2008-10-25 17:21  biplab

svn5291:  * Fixed: [Bug #14639] Ignoring case when renaming files
	  to same name case modified

2008-10-25 16:17  biplab

svn5290:  * Fixed: [Bug #14713] Memory leak in
	  src/plugins/compilergcc/depslib/src/regexp.c

2008-10-25 16:01  biplab

svn5289:  * Fixed: [Bug #14714] Mismatching allocation and
	  deallocation in source_exporter

2008-10-25 15:36  biplab

svn5288:  * Applied: Patch to improve OpenWatcom compiler support
	  (Thanks to YesNo).

2008-10-24 21:22  byo

svn5286:  wxSmith: Replaced some icons with those provided by
	  nausea
	  (http://forums.codeblocks.org/index.php/topic,372.msg66841.html#msg66841)

2008-10-23 17:10  byo

svn5284:  HexEdit: Make previous commit compatible with windows
	  platform (poor support for long double :( )

2008-10-23 16:33  byo

svn5283:  HexEdit:
	  * Added automated expression parser tests
	  * Added basic trygonometric functions, log and pow
	  * Added parsing of floating point numbers
	  * Optimized a little bit the produced code

2008-10-20 20:48  byo

svn5280:  wxSmith:
	  * Applied (in modified form) update to wxGrid by rcoll
	  * Fixed typo in wxSashLayoutWindow (invalid name of XML property)

2008-10-20 07:07  mortenmacfly

svn5278:  - lib_finder plugin: restored broken Windows project
	  file (was broken since 5029...?!)

2008-10-19 19:08  jenslody

svn5277:  Incremental Search 0.4 2008/10/19:
	  - fixed a possible crash, when no "Start here"-page is shown
	  - make found text visible, even if it is in a column outside the
	  actual editor-window
	  - ESC now leaves IncSearch and optionally selects found phrase
	  (if textcontrol has focus)
	  - ALT-DELETE clears textcontrol (if it has the focus)
	  - added some svn-keywords to the files

2008-10-19 07:17  killerbot

svn5276:  - include fixes

2008-10-18 16:47  mandrav

svn5275:  * Moved class wizard menu item to "File->New->Class".
	  * Minor fix related to calltips.
	  * Display any script errors in separate (multi-line) window.

2008-10-15 15:47  mortenmacfly

svn5273:  * todo list plugin: implemented sorting of columns

2008-10-15 13:06  jenslody

svn5272:  * Incremental Search: fix for windows to make text
	  control update bg-colour immediately

2008-10-15 09:42  mortenmacfly

svn5271:  - fixed bug #14682: new URL for MSDN search

2008-10-14 12:12  mortenmacfly

svn5270:  - projects importer: (VC6 project) fix incorrect import
	  of postbuild commands including tabs
	  - projects importer: (VC6 workspace) issue an error message if
	  workspace did not contain any valid projects

2008-10-12 15:49  jenslody

svn5269:  - Incremental Search: small fixes to the conf-dialog
	  (Thanks to mariocup); updated the settings-bitmap

2008-10-12 12:28  jenslody

svn5268:  Incremental Search: Fixed a highlight bug, when
	  searched in selected text; added more configuration options;
	  added button to clear the text to search for; make line-endings
	  and indendation more consistent

2008-10-12 06:31  biplab

svn5267:  * Incremental Search: Fixed - A typo.

2008-10-11 15:58  jenslody

svn5266:  - Incremental Search: build-fix for wxWidgets versions
	  before 2.8.5, fix for windows projectfile not including the png's
	  in some cases

2008-10-11 14:36  biplab

svn5265:  * Incremental Search: Fixed - make dist will now
	  include resource images in source tarball.

2008-10-11 14:07  jenslody

svn5264:  - Incremental Search: rereverted previous commit,
	  because it *is* a bug, also fixed post-build steps on linux
	  (windows seems ok)

2008-10-11 13:41  biplab

svn5263:  * Incremental Search: Reverted previous commit. Sorry,
	  it was not a bug.

2008-10-11 13:14  biplab

svn5262:  * Incremental Search: Fixed - 16x16 images are not
	  stored in zip file during Linux builds.

2008-10-11 12:57  biplab

svn5261:  * Incremental Search: Removed Makefile.in from repo.
	  It'll be regenerated from Makefile.am file.

2008-10-11 10:32  jenslody

svn5260:  - Incremental Search: removed some unneeded headers,
	  removed some more virtual declarations (even if it is not
	  necessary) to make code more consistent, fixed typo in r5256
	  which leads to an error, if compiled without CB_PRECOMP

2008-10-11 09:12  killerbot

svn5259:  translation issues fixed : _T --> _

2008-10-10 20:32  killerbot

svn5258:  - follow up

2008-10-10 20:26  killerbot

svn5257:  - follow up (not complete yet)

2008-10-10 19:57  killerbot

svn5256:  - hopefully correctly adjusted the include mechanism
	  (and removed virtual/protected : nobody is inheriting currently,
	  so don't confuse the reader)

2008-10-10 16:49  killerbot

svn5255:  - first fixes in the addition of IncrementalSearch

2008-10-10 16:05  biplab

svn5254:  * Incremental Search: Fixed icon size of Main menu.

2008-10-10 12:43  jenslody

svn5253:  Adding missing files from last commit

2008-10-10 12:41  jenslody

svn5252:  Adding incremental search as contrib-plugin

2008-10-10 12:40  jenslody

svn5251:  Patch for (wx)scintilla to have more colourful
	  highlight-capabilities with indicators, patch for Highlight
	  occurences to use this

2008-10-10 11:58  mortenmacfly

svn5250:  * todo list plugin: made todo types configurable

2008-10-08 11:07  killerbot

svn5246:  - pch fix

2008-10-07 17:09  byo

svn5245:  wxSmith:
	  * Enabled wxGLCanvas (setting custom context not available yet)
	  * Changed some code to use same naming convention

2008-10-07 09:45  mandrav

svn5244:  * Improved filtering of matches for "goto
	  declaration/implementation"

2008-10-06 19:31  killerbot

svn5243:  - GCC 4.3 fixes (removing -include"sdk.h" from the cbp
	  files and some more) this is more or less the same as applying :
	  Patch #2560 and 2559

2008-10-06 15:40  jenslody

svn5242:  Patch #2570 to fix bug #10775, "GotoLine()" now works
	  correctly, even if parts of the code are folded. Thanks to XayC

2008-10-01 11:18  mortenmacfly

svn5241:  - added /? und --? help option alias (for the Windows
	  users not used to /h)

2008-09-30 21:33  byo

svn5240:  wxSmith: Fixed bug in font property generating wrong
	  code when relative size was used

2008-09-30 09:43  mandrav

svn5238:  * Added "--file=foo.cpp[:line]" option so a file can be
	  opened and, optionally, jump to a specific line

2008-09-29 21:23  jenslody

svn5237:  ThreadSearch plugin: fixed a bug that causes a crash on
	  linux (maybe also on win), when ThreadSearch uses Messages
	  notebook and was toggled off and then on again

2008-09-28 07:06  jenslody

svn5236:  * Non-PCH build fix. (Thanks to Tim S)

2008-09-28 05:23  biplab

svn5235:  * Removed: Unnecessary files from wxPropertyGrid.
	  Please do not put them in C::B repository.

2008-09-27 23:18  jenslody

svn5234:  * update.bat: supress warnings about non-existing
	  files, if UI resources are compressed the first time

2008-09-27 22:51  jenslody

svn5233:  Valgrind plugin: fixed a crash, when plugin was
	  disabled

2008-09-27 22:49  jenslody

svn5232:  fixed a problem when disabling a plugin that uses two
	  infopanes, at least one of them was not deleted, also fixing a
	  wxFlatNotebook bug, if the last two notebooks get deleted and the
	  last had the focus before

2008-09-26 11:00  mortenmacfly

svn5231:  - wxSmith: more descriptive error message if a property
	  could not be read

2008-09-26 08:08  mortenmacfly

svn5230:  * wxSmith: Updated (added) missing events to
	  wxCheckListBox (derived from wxListBox)

2008-09-25 19:10  byo

svn5229:  HexEditor (expressions):
	  * Switched memory accessing operator from "<dataType>( <offset>
	  )" to "<dataType>[ <offset> ]"
	  * added alternative to '@' as a string 'cur'

2008-09-25 18:38  byo

svn5228:  Makefile fix

2008-09-25 18:36  byo

svn5227:  wxSmith:
	  * Added missing size events to some base items (not all items
	  updated)
	  * Added "Advanced" category on the palette - it will be used for
	  more complex items available inside wxWidgets
	  * Added following items provided by rcoll:
	  - wxSingleInstanceChecker
	  - wxSashWindow
	  - wxSashLayoutWindow
	  - wxStopWatch
	  - wxSymbolPickerDlg
	  - wxGLCanvas (not yet enabled since it causes stability problems
	  on gtk)

2008-09-25 17:32  byo

svn5226:  HexEdit: forgot this small change

2008-09-25 17:26  byo

svn5225:  HexEditor:
	  * Added editor for stored expressions

2008-09-25 14:23  jenslody

svn5224:  fixed a problem with accessing infopanes correctly
	  after moving or toggling them

2008-09-25 14:22  jenslody

svn5223:  fixed an issue that causes progressbar to stay always
	  on top when adding files in linux via projects context menu

2008-09-25 14:19  jenslody

svn5222:  pending breakpoints can now be removed after starting
	  debug-session

2008-09-25 08:41  mortenmacfly

svn5221:  - wxSmith: updated wxPropGrid documentation, removed
	  obsolete files

2008-09-25 08:35  mortenmacfly

svn5220:  * wxSmith: updated wxPropGrid from 1.2.10 to 1.2.12
	  (for wx28 compatibility)
	  - notice: wxPropertyGrid will be part of wx29

2008-09-24 13:35  mortenmacfly

svn5219:  * fixed bug in tokenizer related to function arguments
	  with nested c-style comments

2008-09-24 11:08  mortenmacfly

svn5218:  - reverted some wrong atoi fixes

2008-09-24 08:41  afb

svn5217:  * codesnippets: add missing Mac headers

2008-09-24 08:40  afb

svn5216:  - codesnippets: fix relative path, for VPATH builds

2008-09-24 07:15  mortenmacfly

svn5215:  - made atoi into wxAtoi (as proposed by wx)
	  - made file dialog wxFILE_XXX flags to wxFD_FILE_XXX
	  (compatibility with wx28+)
	  - resources: wxRESIZE_BOX -> wxMAXIMIZE_BOX etc. (compatibility
	  with wx28+)

2008-09-23 16:14  jenslody

svn5214:  fixed crash-candidates: conversion to multibyte-string
	  fails in some rare cases and crashes C::B if result is passed to
	  c/c++-functions

2008-09-22 08:43  mortenmacfly

svn5213:  - fixed upper-case wxCHAR to wxChar

2008-09-22 05:26  killerbot

svn5212:  - little build fix (for ansi builds)

2008-09-21 20:19  killerbot

svn5211:  * bug fix for error introduced in rev 5209 (no longer
	  builds)

2008-09-21 20:10  killerbot

svn5210:  - remove warnings, and actually correct application of
	  that dirty old printf rules

2008-09-21 19:01  afb

svn5209:  - allow launch with associated application (open) for
	  all platforms

2008-09-20 11:47  killerbot

svn5208:  - some first wx29 compatibility updates

2008-09-19 06:38  mortenmacfly

svn5207:  - fixed wrong comparision with compiler index (thanks
	  stahta01)

2008-09-18 08:34  jenslody

svn5206:  - moved DDE-Server initialization, to make it start
	  after commandline is read.

2008-09-18 08:17  mortenmacfly

svn5205:  - fixed possible crash candidate (DDE server related)

2008-09-16 16:13  biplab

svn5204:  * Fixed: Project Importer menu location at File menu
	  was hard-coded. (It's a cosmetic fix and fixing two separators
	  after Import Project menu item bug in latest nightlies)

2008-09-08 07:36  killerbot

svn5203:  -pch include fixes, and DLLIMPORT on templates

2008-09-07 19:50  jenslody

svn5202:  fixed an issue that leads to a crash on linux, if the
	  last watch was removed from "Debug -> Edit watches" dialog

2008-08-31 14:26  jenslody

svn5201:  * fixed two minor errors

2008-08-30 07:08  biplab

svn5200:  * Cleaned Up: Project file.

2008-08-29 16:09  jenslody

svn5199:  fixed update-script for linux, previous version seems
	  to be incompatible with some shells
	  wxSmith: added "SetSashPosition" to generated code only if
	  wxSplitWindow has two children (update for r5196)

2008-08-29 14:38  pecan

svn5198:  DragScroll 1.3.23 2008/08/29
	  - Fixed: font sizes increasing across sessions in
	  OnMouseWheelEvent.
	  - Save/restore users ctrl-MouseWheel font changes across
	  sessions.
	  - Fixed: crash caused by failure in CleanUpWindowPointerArray()
	  - Changed option label "MouseWheelZoom" to "Log MouseWheelZoom"
	  to avoid confusion; even though it applies to other tree and list
	  controls.

2008-08-29 09:42  mortenmacfly

svn5197:  * projects_importer (MSVC6): Add support for importing
	  post build commands

2008-08-27 19:04  jenslody

svn5196:  wxSmith: added "SetSashPosition" to generated code to
	  make the appropriate parameter work

2008-08-26 17:37  jenslody

svn5195:  Hopefully fixed dde-issue when double-clicking on
	  associated files in explorer on XP and CodeBlocks is not yet
	  running.

2008-08-26 16:36  mandrav

svn5194:  * added logrotate-style backups to autosave

2008-08-24 09:59  biplab

svn5193:  * Highlight Occurrences:
	  - Added: Support for user-defined colours.

2008-08-23 17:49  pecan

svn5192:  CodeSnippets 1 3.92 2008/08/23
	  - App:Added RemoveFileFromRecentHistory routine
	  - Allow user to specify new filename at "Load Index File..."
	  - Adjust CodeSnippet TreeCtrl font via Ctrl-MouseWheel
	  - Adjust ThreadSearch log font via Ctrl-MouseWheel
	  - Delete temporary files created when editing xml text items
	  - After external file mod and reload, call
	  ScbEditor::SetEditorStyleAfterFileOpen() to re-establish margin
	  style, width, etc.
	  - Add file dragndrop to EditSnippetFrame
	  - Add wxLog::EnableLog(false) for release app to stop wxWidget
	  wxMessageBox's when user starts CodeSnippets.exe without a
	  CodeBlocks .conf file.
	  - Removed memoryMappedFile code for compatibility with non-linux
	  unixes
	  - Fixed missing events when CS switched from External to
	  docked/floating
	  - Auto start CS app if running in previous session.
	  - Auto show docked or floating when switching from app
	  - Ask user if s/he really wants multiple invocations of CS from
	  same folder.

2008-08-23 17:41  pecan

svn5191:  DragScroll 1.3.18 2008/08/23
	  - Implement Ctrl-MouseWheel zoom for CB list & tree ctrls
	  - Add config options "Ctrl-WheelMouse Zooms" and "Remember Log
	  Zoom"
	  - Allow user to ctrl-mouse zoom htmlWindows (eg, Start Here page)
	  - Fixed: missing events bec.StartHere htmlWindow never issues
	  wxEVT_DESTROY

2008-08-21 09:57  mortenmacfly

svn5190:  - added wizard for stlport enabled application(s)
	  - hexedit: fixed linker warnings on windows

2008-08-18 16:36  biplab

svn5189:  * Polished: Highlight Occurrences. Selection will now
	  be Filled rectangle, instead of a outlined rectangle.

2008-08-17 16:37  biplab

svn5188:  * Implemented: [Feature Request #4270] Occurrence
	  selection should be case-sensitive
	  * Highlight Occurrences: Added option to highlight Whole Words.

2008-08-17 13:49  killerbot

svn5187:  applied in modified form [ Patch #2537 ] help_plugin:
	  missing prototypes in defs.h

2008-08-17 13:36  killerbot

svn5186:  * applied Patch #2544 : loggers font fix

2008-08-15 18:15  killerbot

svn5185:  * copy/cut-paste fixes in linux
	  * copy/move fixes on linux when drag and drop of selected text
	  with the mouse

2008-08-13 22:22  jenslody

svn5184:  RegExTestbed plugin: fixed a bug that makes it
	  impossible to select/copy the quoted expression on linux and
	  makes it impossible to scroll the text of the output control.

2008-08-12 23:01  byo

svn5183:  HexEditor:
	  * Many bugfixes in the expression parser
	  * Added expression parsing into the preview section

2008-08-08 15:23  jenslody

svn5182:  HeaderFixup plugin: fixed typo in defaults.cpp that
	  leads to incorrect includes

2008-08-08 14:49  jenslody

svn5181:  Fixed a bug that can lead to a crash if compiler-plugin
	  checks if external deps are outdated. Thanks to jeroen for
	  pointing this out and the patch.

2008-08-06 22:03  byo

svn5180:  HexEditor: Forgot to add this file

2008-08-06 22:02  byo

svn5179:  HexEditor: Added expression calculator (based on simple
	  virtual machine), it will be used for goto, search and preview
	  operations

2008-08-01 17:17  killerbot

svn5177:  - include fixes

2008-08-01 17:03  killerbot

svn5176:  - include fixes, and /* */ --> // : (c++ code)

2008-08-01 16:41  killerbot

svn5175:  - update to previous commit

2008-08-01 16:39  killerbot

svn5174:  - first step to get rid of one public var, in
	  preparation of applying a patch

2008-08-01 15:54  killerbot

svn5173:  * OnReload does not re-establish margin numbers and
	  width (Bug #014298, patch number #002536)

2008-07-31 20:16  byo

svn5172:  HexEditor: Applied patch by dmoore - integration with
	  ShellExplorer plugin

2008-07-30 18:13  pecan

svn5171:  CodeSnippets 1 3.80
	  - Center find dlg in parent frame
	  - Invoke CheckForModifiedFiles in EditSnippetFrame EVT_ACTIVATE
	  - Invoke CheckForModifiedFiles in ThreadSearchFrame EVT_ACTIVATE
	  - Pass URL/URI in dragndrop as file for browsers
	  - App:Fix activating first instance when starting secondary
	  instances, then exit
	  - {enter} in snippets properties label simulates OK
	  - Fixed: App: CodeSnippets XML Index is not being saved OnClose
	  [x].(2008/07/30)
	  - Fixed: Loop caused by cross-frame event posting in EVT_ACTIVATE
	  routines
	  - Speed up .cbp compile time by sharing obj directory betwn
	  plugin & standalone

2008-07-26 11:58  daniel2000

svn5170:  - some minor fixes for make dist

2008-07-26 11:54  byo

svn5169:  HexEditor: Implemented inserting new bytes (INSERT key)
	  and deleteing current (DELETE key)

2008-07-26 11:44  daniel2000

svn5168:  - added libValgrind.* files to file list (forgot this
	  in last commit)

2008-07-26 11:33  daniel2000

svn5167:  - some spec file tidying and some minor fixes

2008-07-25 21:35  byo

svn5166:  HexEditor: Implemented goto functionality (ctrl+g)

2008-07-25 20:16  byo

svn5165:  HexEditor: Added "Open with HexEditor" option into the
	  "File" menu

2008-07-25 20:14  byo

svn5164:  Fixed bug causing C::B to rejest closing when using
	  editor other than the internal one

2008-07-25 19:37  jenslody

svn5163:  - fix for a rare case when reselecting a just
	  deselected word does not highlight its occurences

2008-07-25 11:51  jenslody

svn5162:  - fixed minor bug in headerfixup defaults

2008-07-24 14:26  thomasdenk

svn5161:  - made deselect work correctly

2008-07-23 20:52  byo

svn5160:  HexEdit:
	  * Fix problems with crashes on windows (potentially)
	  * Fix problem with displaying small files

2008-07-23 15:45  biplab

svn5159:  * Fixed: Auto-detection of GCC returns wrong compiler
	  dir (Reproducible in Fedora 9).

2008-07-23 15:41  thomasdenk

svn5158:  - missing line

2008-07-23 15:28  biplab

svn5157:  * Fixed: Occurrence selection remains active after
	  deselecting the text (due to last commit).

2008-07-23 13:10  thomasdenk

svn5156:  - CPU patch for patch #002525

2008-07-23 13:05  biplab

svn5155:  * Applied: [Patch #2525] Occurrences Highlighting

2008-07-22 20:54  byo

svn5154:  wxSmith: (thanx to pdsonic for finding these bugs)
	  * Fixed bug with checkable menu item (invaild name of XML node)
	  * Fixed procedure fetching menu items from XRC file

2008-07-21 19:35  thomasdenk

svn5153:  - Updated to take advantage of r5152

2008-07-21 19:19  mandrav

svn5152:  - added EVT_EDITOR_BEFORE_SAVE event

2008-07-21 19:15  pecan

svn5151:  -CodeSnippets 1 3.72 2008/07/20
	  - Disable Find and FindInFiles in FullSearch Preview window to
	  prevent crashes
	  - Disalbe FindInFiles in FullSearch editors (causing crashes)

2008-07-21 16:46  biplab

svn5150:  * Improved: Code to address a lock-up when ² is
	  entered.

2008-07-21 14:45  mortenmacfly

svn5149:  * fixed regression due to rev 5124 with libary
	  selection/modification

2008-07-20 20:59  jenslody

svn5148:  - Headerfixup-plugin: reverted the conditional part of
	  commit 5147, there seem to be problems with 32bit systems too

2008-07-20 20:07  jenslody

svn5147:  - Headerfixup-plugin: workaround for x86_64
	  compiling-problem

2008-07-20 13:35  pecan

svn5146:  - CodeSnippets - update versoin number

2008-07-20 12:22  pecan

svn5145:  *CodeSnippets 1 3.70 2008/07/20
	  - Fix FullSearch file open crash when CodeCompletion loaded

2008-07-19 19:55  byo

svn5144:  HexEditor: Added protection from crashes - all hex
	  editors are closed before plugin is detached

2008-07-19 17:08  byo

svn5143:  HexEditor: Workaround for MinGW's broked printf support
	  for long double

2008-07-19 10:12  byo

svn5142:  HexEditor: Changed resources

2008-07-19 10:11  byo

svn5141:  HexEditor: Now the "Value Preview" should be enabled by
	  default for sure :)

2008-07-18 22:03  byo

svn5140:  HexEdit:
	  * Made the "Value Preview" option enabled by default
	  * Some small project adjustments
	  * Removed some warnings

2008-07-18 19:14  byo

svn5139:  HexEditor: Switched to CamelCase for .so and .zip file
	  on linux

2008-07-18 18:18  killerbot

svn5138:  - hexeditor update

2008-07-18 18:00  byo

svn5137:  Added HexEditor plugin

2008-07-18 15:45  mortenmacfly

svn5136:  * updated bzip libs to most recent version (fixes
	  security flaws)
	  * added several lexers by applying patch #2517 (thanks danselmi)
	  - fixed minor bug in matching brace handling in cbeditor (thanks
	  danselmi)
	  - added auto import libs for several project (targets) where
	  required
	  - updated C::B project file's library options to be more flexible
	  (lib_XXX_.a -> _XXX_)
	  * fixed bug #14098, by applying patch #2506 (thanks techtonik)
	  - updated envvars project file as a workaround for the case of
	  spaces in the pathname (actually a bug in macrosmanager!)
	  - removed dead code in project manager

2008-07-17 18:37  killerbot

svn5135:  - the fix

2008-07-17 18:29  killerbot

svn5134:  - part of a fix ?

2008-07-17 17:11  mariocupelli

svn5133:  wizards:
	  - remove header/source dir for EasyKit-TC1767

2008-07-17 15:13  mariocupelli

svn5132:  wizards:
	  - add support for Audo-Future derivatives

2008-07-17 13:14  thomasdenk

svn5131:  - HeaderGuard

2008-07-16 20:57  mariocupelli

svn5130:  wizards:
	  - add support for Audo-Future derivatives (TC1767/TC1797)
	  - add wizards with usage of internal flash
	  - switch to new SFR header files for TriCore

2008-07-15 09:27  mortenmacfly

svn5129:  - fixed wrong forward decl in loggers.h (due to usage
	  of wxTextAttr as object)
	  - tried to hunt down a crash when compiling (related to
	  PipedProcess)
	  - headerfixup plugin: added missing keyword for C::B SDK

2008-07-15 08:01  killerbot

svn5128:  - include fix

2008-07-14 20:30  pecan

svn5127:  CodeSnippets 1 3.69 2008/07/14
	  - Jens' fix for broken CheckForModifiedFiles (Thanks)

2008-07-13 20:36  pecan

svn5126:  CodeSnippets 1 3.68 2008/07/13
	  - Fix standalone module to run as portable exe

2008-07-13 16:18  killerbot

svn5125:  * Valgrind plugin now has it's own menu entry
	  * added basic support for Valgrind::cachegrind

2008-07-13 10:48  mortenmacfly

svn5124:  * allow multiple selection for libraries in compiler
	  options for all operations (move, copy, delete...)

2008-07-12 18:55  mortenmacfly

svn5123:  - fixed codesnippets project fiile for unix

2008-07-12 12:57  byo

svn5122:  lib_finder: Added support for library dependencies

2008-07-12 12:51  byo

svn5121:  wxSmith: Changed method of connecting events directly
	  to item (like itemVariable->Connect( ... ) ) - id's of items are
	  not used now since this caused some problems (like wxEVT_CHAR was
	  not always processed).

2008-07-11 23:54  pecan

svn5120:  CodeSnippets - removed unused files

2008-07-11 23:53  pecan

svn5119:  CodeSnippets - removed unused files

2008-07-11 23:50  pecan

svn5118:  * CodeSnippets with ThreadSearch
	  - RightClick root item and choose FullSearch

2008-07-11 11:09  mortenmacfly

svn5117:  * applied several patches from Bugtracker (thanks jens
	  and others...)
	  - handle special charakters in tokenizer (fixes freeze)
	  - fix some compiler warnings on windows (auto-import)
	  - fixed issues with wxSmith on 64bit systems
	  - choose the right target for debugging
	  - correct placement of file modified dialog
	  - fixed wrong behaviour of taskbar icon on batch builds
	  - save missing layout infos

2008-07-10 05:33  killerbot

svn5116:  - update

2008-07-09 18:40  killerbot

svn5115:  - headerfix-unix.cbp fix

2008-07-09 18:38  killerbot

svn5114:  - update to previous commit

2008-07-09 18:31  killerbot

svn5113:  add Valgrind plugin

2008-07-08 20:29  mortenmacfly

svn5112:  - fixed makefile system due update of headerfixup
	  plugin

2008-07-08 11:15  mortenmacfly

svn5111:  * fixed crash when clicking the close-button twice by
	  applying patch #2513, thanks Der Meister.
	  headerfixup plugin:
	  - detect existing forward decls
	  - detect spaces in includes, e.g. #include < wx/wx.h >
	  - make the log available in a report window for inspection/save
	  - save the UI settings (including selection of libs)
	  - use RegEx for detection of includes, forward decls... in files

2008-07-04 12:54  mortenmacfly

svn5109:  header_fixup plugin:
	  - fixed a few bugs introduced in last commit (doh!)
	  - added todo file for devs that want to contribute

2008-07-04 12:16  mortenmacfly

svn5108:  header_fixup plugin:
	  - updated C::B defaults (e.g. to include the new logger)
	  - fixed a few bugs in wx 2.6.4 defaults
	  - added wx 2.8.8 defaults

2008-07-03 15:29  biplab

svn5107:  * Applied: [Patch #2508] Wrong name for .o file after
	  renaming .cpp file

2008-06-23 15:08  biplab

svn5105:  * Fixed: AutoVersioning plugin adds version.h more than
	  once for projects with more than 2 targets.

2008-06-22 15:57  biplab

svn5104:  * Fixed: File permission gets messed up after a file
	  save. (Uses a portion of patch by Jens, Thanks!)

2008-06-22 11:05  dje

svn5103:  * Added current target to search scope in ThreadSearch
	  plugin.

2008-06-19 20:14  killerbot

svn5102:  - minor include change

2008-06-19 20:04  killerbot

svn5101:  * don't parse the targets of another platform for
	  include directories [otherwise you get crap on linux when for
	  example a project containing linux wx target and windows wx
	  target : on linux you get complaints that #WX is unknown and you
	  get the global var dialog very easily 6 times or more presented]

2008-06-18 20:05  killerbot

svn5100:  * menu entry and keyboard shortcut for "Open include
	  file"

2008-06-18 15:59  mortenmacfly

svn5099:  * fixed: C::B not asking anymore to save a modified
	  workspace if e.g. another project was added

2008-06-17 15:37  ceniza

svn5098:  Help plugin:
	  * [BUGFIX] Crash when deleting first entry (patch provided by
	  jens)

2008-06-16 21:38  killerbot

svn5097:  * applied patch 2494 which fixes bug 14037 (thanks
	  Jens)

2008-06-16 21:30  killerbot

svn5096:  * menu entries and keyboard shortcuts for "Find
	  declaration of :'...'" and "Find implementation of :'...'"

2008-06-10 16:09  biplab

svn5095:  * Fixed: Couple of layout issues in Header-fixup
	  plugin.

2008-06-10 15:28  mortenmacfly

svn5094:  * fixed crash with HeaderFixup plugin if no project is
	  active... ooops. ;-)

2008-06-08 15:19  biplab

svn5093:  * Fixed: Wrong number of items radio box in Headerfixup
	  plugin.

2008-06-08 15:11  biplab

svn5092:  * Fixed: A bug introduced in previous commit. (Thanks
	  Jens for noting this)

2008-06-08 13:37  biplab

svn5091:  * Applied: [Patch #2480] Speedup update-script on linux

2008-06-08 13:19  biplab

svn5090:  * Fixed: [Bug #13982] Undocked (floating) windows can
	  cause GTK-Critical on linux (Using [Patch #2490])

2008-06-06 09:59  mariocupelli

svn5089:  Editor:
	  - fix pasting in block select mode (thanks to SiegeLord)

2008-06-05 21:46  dje

svn5088:  - ThreadSearch plugin : fix potential hang due to a
	  graphic call in the worker thread (thanks to Pecan)

2008-06-03 11:04  killerbot

svn5087:  - further header fixup fixes

2008-06-03 06:42  killerbot

svn5086:  - pch fix

2008-06-03 06:39  killerbot

svn5085:  * fixes to build HeaderFixUp on linux and dialog size

2008-06-02 11:32  mortenmacfly

svn5084:  * added (saved) HeaderFixup plugin (latest version) to
	  SVN
	  - adjusted build/install system (hopefully correctly... needs
	  testing)
	  - fixed envvars project file for unix
	  - reverted some wrong changes of R5070

2008-06-01 15:28  biplab

svn5083:  * Fixed: Possible crash when an open file is deleted
	  from disk and C::B is asked to remove it from project tree.

2008-05-28 22:55  ceniza

svn5082:  Debugger plugin:
	  * Bugfix: Valid frames in the call stack being discarded
	  ? Check: '(' printed as the address of call stack #0 when there's
	  not address information at all for it

2008-05-24 12:06  biplab

svn5081:  * Applied: [Patch #2452] Speedup 'update.bat'

2008-05-23 09:15  mandrav

svn5080:  * Script console is now a docking window (instead of
	  being part of the logs).
	  * Script console now keeps a history of entered commands.
	  * Fixed layout of some dialogs.

2008-05-22 18:39  pecan

svn5079:  DragScroll 1.2.15 2008/05/22
	  -Allow multiple invocations of OnAppStartupDoneInit() in order to
	  catch windows that open after we intialize. (2008/03/4)
	  - Conversion to use only one event handler (2008/04/22)
	  - Optimizations in MouseEventsHandler
	  - SearchForScrollableWindows() as service to external callers
	  - Added DragScroll events for rescanning/adding/removing windows
	  - Optimized/cleaned up MouseEventHandler
	  - Removed OnWindowOpen EditorManager dependencies
	  - Add Configure() and event to invoke it. 2008/04/29

2008-05-22 16:10  biplab

svn5078:  * Fixed: Code missed in last commit.

2008-05-22 15:59  biplab

svn5077:  * Fixed: Memory dump window shows wrong memory address.

2008-05-22 06:11  mortenmacfly

svn5076:  * fixed broken replace in files (thanks to Bob)

2008-05-20 14:51  biplab

svn5075:  * Fixed: [Bug #13841] memory dump does randomly not
	  work (by applying [Patch #2475]). Thanks Jens for the patch.

2008-05-17 06:03  biplab

svn5074:  * Added: Correct exchndl.dll file.

2008-05-17 06:02  biplab

svn5073:  * Removed: Corrupted exchndl.dll file.

2008-05-17 05:23  biplab

svn5072:  * Fixed: exchndl.dll was missing from trunk. Adjusted
	  the update.bat to include it.

2008-05-17 05:01  biplab

svn5071:  * Removed: Empty installer folder from the trunk.

2008-05-15 09:11  mortenmacfly

svn5070:  - fixed 2 possible crash candidates
	  - some more information given to the user if loading a manifest
	  file fails

2008-05-14 16:37  biplab

svn5067:  * Added the mime folders to the autotools build system.

2008-05-14 16:14  mortenmacfly

svn5065:  Moved setup/mime/codeblocks.desktop to
	  trunk/src/mime/codeblocks.desktop

2008-05-14 16:14  mortenmacfly

svn5064:  Moved setup/mime/codeblocks.png to
	  trunk/src/mime/codeblocks.png

2008-05-14 16:14  mortenmacfly

svn5063:  Moved setup/mime/codeblocks.xml to
	  trunk/src/mime/codeblocks.xml

2008-05-14 16:14  mortenmacfly

svn5062:  Moved
	  setup/mime/gnome-mime-application-x-codeblocks-workspace.png to
	  trunk/src/mime/gnome-mime-application-x-codeblocks-workspace.png

2008-05-14 16:14  mortenmacfly

svn5061:  Moved
	  setup/mime/gnome-mime-application-x-codeblocks.png to
	  trunk/src/mime/gnome-mime-application-x-codeblocks.png

2008-05-14 16:13  mortenmacfly

svn5060:  Moved setup/mime/Makefile.am to
	  trunk/src/mime/Makefile.am

2008-05-14 16:12  mortenmacfly

svn5059:  Created directory trunk/src/mime

2008-05-13 17:31  biplab

svn5058:  * Fixed: [Bug #13807] bootstrap of C::B fail on missing
	  src/setup

2008-05-13 17:01  biplab

svn5057:  * Non-PCH build fix. (Thanks Tim S.)

2008-05-13 16:44  biplab

svn5056:  * Fixed: Digital Mars Compiler throws error while
	  compiling Win32 App wizard generated projects.
	  * Fixed: Digital Mars Resource Compiler throws error while
	  compiling Win32 App wizard generated resource files.
	  * Minor tweak in Win32 App wizard generated resource file.

2008-05-13 16:35  biplab

svn5055:  * Fixed: [Bug #13800] Digital Mars Resource Compiler
	  Error

2008-05-13 13:26  mortenmacfly

svn5054:  * handle read-only file (editor) properly for
	  search-and-replace
	  * show an info window if while replacing in files some files were
	  skipped due to being read-only

2008-05-12 08:23  mandrav

svn5053:  - Moved 'setup' folder to root of the repository

2008-05-11 15:37  killerbot

svn5052:  - fix wx cb dll name

2008-05-11 07:22  mortenmacfly

svn5051:  - some minor fixes on the NSI file (to comply with new
	  C::B folders)

2008-05-11 07:12  mortenmacfly

svn5050:  - moved installer files to the right place

2008-05-11 07:10  mortenmacfly

svn5049:  moved installer files to the right place

2008-05-10 19:53  killerbot

svn5048:  - pch fix

2008-05-10 18:11  killerbot

svn5047:  - add some installer files

2008-05-10 13:05  byo

svn5046:  lib_finder: Added support for versioning of library
	  detection settings

2008-05-09 15:10  killerbot

svn5045:  - pch fix

2008-05-09 08:04  mandrav

svn5044:  * Project-wide remote debugging settings now offer
	  project-level settings too, in addition to target-level settings
	  (target-level settings override project-level ones).

2008-05-08 22:38  byo

svn5043:  wxSmith: Makefile.am fix

2008-05-08 22:09  byo

svn5042:  wxSmith:
	  * Exported 'WxsRecoverWxsFile' function into squirrel - it may be
	  used to recover resources when they were lost
	  * Fix potential crash when loading project with invalid resource
	  definitions

2008-05-08 14:44  ceniza

svn5041:  Source Exporter:
	  * Added option to print line numbers in the exported file.

2008-05-08 08:35  mandrav

svn5040:  * Debugger now updates the call stack when manually
	  switching to a different thread.

2008-05-07 21:55  byo

svn5039:  lib_finder:
	  * Changed list.xml content to look more like current library
	  detection settings xml files
	  * Small bug fixes

2008-05-07 20:49  ceniza

svn5038:  AStyle plugin:
	  - Reset m_PeakStart after peekReset

2008-05-07 18:11  ceniza

svn5037:  AStyle Plugin:
	  - Upgraded to AStyle 1.22

2008-05-07 14:58  killerbot

svn5036:  * fix the build (broken by rev 5029)

2008-05-07 12:26  mandrav

svn5035:  * Fixed a couple of bugs in C++ parser when parsing
	  comments.

2008-05-07 12:22  thomasdenk

svn5034:  * Fixed r5031 to compile with gcc 4.2

2008-05-07 11:41  mandrav

svn5033:  * Fixed bug with encoding detector being called twice
	  per file.
	  * Fixed default value inconsistency in two places for
	  ignore_invalid_targets setting.

2008-05-07 08:50  byo

svn5032:  lib_finder: Fixed Makefile.am

2008-05-07 08:49  mortenmacfly

svn5031:  * applied modified (no ABI change) variant of patch
	  #2425 by DrewBoo ("SDK recognises source and header extensions in
	  project mgr"). Thanks DrewBoo!

2008-05-07 08:45  mortenmacfly

svn5030:  * added native support for INL file extension (template
	  implementation files)

2008-05-07 07:21  byo

svn5029:  lib_finder:
	  * Added dialog helping to deal with unknown libraries when
	  project uses them
	  * Library detection definitions can now be downloaded from
	  internet

2008-05-05 10:03  mandrav

svn5028:  * Fixed C++ parser bug with operators containing the
	  '=' digit (caused the parser to skip big chunks of code).
	  * Added new view filter for symbols browser: "Everything"
	  (displays all known symbols).
	  * Members list in symbols browser (bottom list) now displays
	  member categorized (ctors & dtors, functions, vars, others),
	  where available, for easier reading.
	  * Enabled (and improved compared to initial plans) search
	  functionality in symbols browser.

2008-05-02 09:07  afb

svn5027:  - include string, for std::string (fixes the mac build)

2008-05-01 12:14  killerbot

svn5026:  * applied [ Patch #2424 ] GDB allows editing of
	  breakpoints when debugee is running

2008-05-01 08:53  biplab

svn5025:  * Added: SQL lexer.

2008-04-30 22:22  killerbot

svn5024:  * applied [ Patch #2362 ] Get lexer sample from user
	  data dir like lexer xml

2008-04-30 22:05  killerbot

svn5023:  * applied [ Patch #2422 ] Corrected spelling of
	  "explicitly"

2008-04-29 15:48  mandrav

svn5022:  * Fixed broken "run" functionality for console apps
	  (windows).

2008-04-27 09:31  biplab

svn5021:  * Fixed: [Bug #13500] Setting the icon of a wxFrame
	  causes compile error

2008-04-24 20:24  killerbot

svn5020:  - pch fix

2008-04-24 12:07  biplab

svn5019:  * Fixed: [Bug #13679] Crash in CodeCompletion after
	  autosave

2008-04-24 10:54  mandrav

svn5018:  * Added debugger option: "catch C++ exceptions".
	  * Added debugger option: "auto switch to first valid frame when
	  breaking". Meaning the debugger will switch to the first frame
	  with valid filename/line info when breaking.
	  * Replaced a couple of debugger's message boxes with info
	  windows.

2008-04-24 09:26  mandrav

svn5017:  * LD_LIBRARY_PATH is now correctly set for targets
	  executed with cb_console_runner.

2008-04-23 17:10  biplab

svn5016:  * Fixed: Refresh the project tree after AutoVersioning
	  plugin configures a project.

2008-04-23 17:02  biplab

svn5015:  * Fixed: [Bug #13676] OnCompiler(Started,Finished)
	  event.GetProject() returns null

2008-04-23 13:17  mandrav

svn5014:  - Fixed parsing bug introduced with last commit.

2008-04-23 12:22  mandrav

svn5013:  * Preprocessor definitions are now parsed and display
	  the macro's definition when the macro is used as a function.
	  * Fixed code-completion bug that would fail providing suggestions
	  if the caret was at the end of the file.

2008-04-23 11:58  mandrav

svn5012:  * Fixed well-hidden parsing bug related to template
	  parameters.

2008-04-23 07:41  mandrav

svn5011:  * Fix for bug with filenames that contain symlinks in
	  their paths (might open the same file more than once using
	  different paths because of the symlinks).

2008-04-19 12:19  killerbot

svn5010:  clean up (remove include\wxAUI)

2008-04-19 09:13  killerbot

svn5009:  * GCC support : added -std=c++98 and -Weffc++ compiler
	  options

2008-04-19 05:05  biplab

svn5008:  * Applied: [Patch #2442] AutoVersioning bugs, new
	  features (For JGM)

2008-04-18 18:31  mandrav

svn5007:  * Fixed a parsing error with stream operator (<<).

2008-04-16 11:42  thomasdenk

svn5006:  * Fixes to conditional variable handling

2008-04-13 17:43  byo

svn5005:  lib_finder: Fixed bug causing new custom libraries to
	  be removed

2008-04-13 03:49  mandrav

svn5004:  * New projects are now fully parsed for symbols after
	  the wizard finishes.

2008-04-13 02:43  mandrav

svn5003:  * Fixed bug in temporary C++ buffer parsing leading to
	  not recognizing some local vars (in some cases).

2008-04-13 02:09  mandrav

svn5002:  * Fixed special comments confusing the C++ parser
	  occasionally.

2008-04-12 09:40  biplab

svn5001:  * Fixed: [Bug #13585] Reload dialog shows when autosave
	  if enabled

2008-04-07 20:38  pecan

svn5000:  CodeSnippets 1.2.118 2008/04/7
	  - Save Doc file in CodeSnippetsTreeCtrl::OnIdle(), not just mark
	  as changed.
	  - Avoid " ..." only tooltip and verify XML text edit wxCancel
	  does not save.
	  - Add unique id to each XML element
	  - Add FindTreeItemBySnippetId() routine
	  - Fixed interference of SetFocus with EditLabel in tree ctrl

2008-04-06 11:09  biplab

svn4999:  * Fixed: Another Typo in Makefile.am (Thanks Jens for
	  pointing this)

2008-04-06 07:22  mortenmacfly

svn4998:  - truly added new wizards to the build system ;-)

2008-04-06 06:24  biplab

svn4997:  * Fixed: A typo in previous commit.

2008-04-06 06:15  biplab

svn4996:  * Fixed: Broken build due to the newly added wizards.

2008-04-05 21:09  killerbot

svn4995:  * consistency enhancement in project tree right click
	  menu : save project/file

2008-04-05 20:26  mariocupelli

svn4994:  Wizards:
	  * add wizards for ARM and PowerPC

2008-04-05 15:06  biplab

svn4993:  * Fixed: [Bug #13227] irrlicht porject wizard doesn't
	  find the irrlicht.h

2008-04-05 12:57  biplab

svn4992:  * Fixed; [Bug #13029] Scripting console crashes
	  codeblocks

2008-04-05 09:01  killerbot

svn4991:  - remove warnings

2008-04-04 16:31  biplab

svn4990:  * Fixed: To-do list fails to parse encoded files in
	  some cases. Now it will use EncodingDetector to detect and
	  convert encoded files.
	  * Improved: Opened files parsing would be several times fast as
	  now it will process them directly off memory.
	  * Fixed: To-do list starts parsing files while it's hidden.
	  * Added: A progress bar to update status while processing all
	  files of a project.
	  * Applied: [Patch #2423] TODO Plugin remembers its scope between
	  C::B sessions

2008-04-04 15:02  biplab

svn4989:  * Applied: [Patch #2332] Return focus to editor during
	  compilations

2008-04-04 14:38  biplab

svn4988:  * Applied: [Patch #2385] better WinAVR detection

2008-04-04 07:09  killerbot

svn4987:  - pch fix

2008-04-03 19:35  byo

svn4986:  lib_finder:
	  * Each library can not provide list of header files (using */?
	  wildcards) declared with this library
	  * Those headers can now be used to automatically detect missing
	  libraries in project

2008-04-03 15:25  biplab

svn4985:  * Fixed: Crash in Linux when an item is dragged from
	  one virtual folder to another and then it's edited. (Thanks r0d
	  for posting and Jens for the patch).

2008-04-02 14:43  biplab

svn4984:  * Added: Matlab_csf to configure.in.

2008-04-02 11:01  killerbot

svn4983:  - include pch fix

2008-04-01 17:49  mortenmacfly

svn4982:  - updated project files for the new wizard

2008-04-01 17:43  mortenmacfly

svn4981:  * added Matlab-C-S-function wizard

2008-04-01 17:40  mortenmacfly

svn4980:  * added new compiler: LCC
	  * class wizard: prefix checkbox not taken into account
	  - updated "skipping invalid compiler" message to be more
	  descriptive

2008-04-01 13:28  biplab

svn4979:  * Reverted: Commit revision 4968.

2008-03-31 18:37  mortenmacfly

svn4978:  * class wizard: add support for member variables, add
	  support for (doxygen) documenation
	  - commit a banana (on behalf of Ceniza)

2008-03-29 18:01  biplab

svn4977:  * Minor cosmetic fix.

2008-03-29 17:52  biplab

svn4976:  * Added: Support for Page-Up/Down, Home, End key in
	  IncrementalSelectListDlg.

2008-03-29 10:35  biplab

svn4975:  * Fixed: Couple of warnings in Scintilla.
	  * Fixed: Now C::B would not prompt to select missing compiler for
	  Targets not supported by current platform.

2008-03-27 22:51  byo

svn4974:  lib_finder: Forgot to modify Makefile.am and to add
	  some files

2008-03-27 22:48  byo

svn4973:  lib_finder:
	  * Added dialog allowing to edit currently known libraries
	  * Compilers are now matched using wxString::Matches() - can use *
	  and ? inside compiler filter
	  * Small code refactorings

2008-03-27 15:27  thomasdenk

svn4972:  - Experimental sigterm support

2008-03-24 21:48  killerbot

svn4971:  - pch fix

2008-03-23 21:28  killerbot

svn4970:  * make delete and insert work on num keypad (thanks
	  Rick)

2008-03-23 08:56  biplab

svn4969:  * Fixed: [Bug #13423] Search in files not working for
	  ISO-8859-1 in Linux (Thanks Rick for the patch)

2008-03-22 09:19  biplab

svn4968:  * Added: /nologo to resource compiler of MSVC-8.

2008-03-21 16:55  biplab

svn4967:  * Updated: Intel compiler detection code would now add
	  VC installation dir to extra paths list.

2008-03-16 11:59  biplab

svn4966:  * Improved: Auto-detection of Intel C/C++ compiler on
	  Windows. Now auto-detection code is able to-
	  - Auto-detect Intel compilers of any version number.
	  - Auto-detect working MSVC installation and configure it to use
	  with Intel compiler.

2008-03-15 19:02  biplab

svn4963:  * Added: C++ exception generation for Intel compiler by
	  the wizards.

2008-03-15 16:59  pecan

svn4962:  Removed file/folder

2008-03-15 16:58  pecan

svn4961:  BrowseTracker 1.2.74 2008/03/15
	  - Added Config settings panel to CB editor settings menu

2008-03-15 08:36  biplab

svn4960:  * Fixed: Broken Syntax-highlighting of wxSmith
	  generated code.

2008-03-15 06:19  biplab

svn4959:  * Fixed: Wrong command line option in AVR wizard.

2008-03-15 05:55  biplab

svn4958:  * Fixed: File status icon not changed after a file
	  can't be open. (Thanks to DrewBoo)

2008-03-14 21:06  byo

svn4957:  Fixed svn properties for sdk_common.h (no code change)

2008-03-14 20:34  ceniza

svn4956:  AStyle plugin:
	  - Applied stahta01's patch: missing includes when not using
	  precompiled headers

2008-03-14 18:50  mortenmacfly

svn4955:  - fixed typo

2008-03-14 08:02  mortenmacfly

svn4954:  * fixed broken compilation with project file under
	  Windows (committed forgotten project file... ooops)

2008-03-13 15:02  mortenmacfly

svn4953:  * symtab plugin: let it know *.so files (ooops.)
	  * dx wizard: update to allow selection / recognition of dx9

2008-03-13 14:18  mortenmacfly

svn4952:  - astyle: fixed wrong letter case, fixed horrible jumpy
	  code if not using tabs

2008-03-13 14:01  mortenmacfly

svn4951:  - fixed (some) project files concerning new
	  wcScinitilla, PCH, object output directory

2008-03-13 13:45  mortenmacfly

svn4950:  - fixed ca. 1 million compiler warnings with new
	  wxScintilla

2008-03-13 12:32  ceniza

svn4949:  * Applied patch by Bloody Cake to compile wxScintilla
	  on Linux.

2008-03-13 12:00  ceniza

svn4948:  Debugger Plugin:
	  - Fixed bug #13332: English typo?

2008-03-13 11:51  ceniza

svn4947:  AStyle Plugin:
	  * Applied patch #2405: New features for AStyle plugin (thanks go
	  to DrewBoo).

2008-03-13 09:14  mortenmacfly

svn4946:  * pumped wxScintilla to v1.71.1 (latest version
	  available) which includes Scintilla v1.62
	  - dev note: this includes are all C::B related patches
	  wxScintilla that I have tracked and that are still valid
	  hopefully I was not missing any...

2008-03-12 21:18  afb

svn4945:  * wxMac: launched programs should not appear behind
	  C::B

2008-03-12 15:11  ceniza

svn4944:  * cb_console_runner now shows the execution time on any
	  platform.

2008-03-10 16:17  biplab

svn4943:  * Fixed: Duplicate accelerator key in Edit menu.

2008-03-10 15:43  biplab

svn4942:  * Fixed: [Bug #12918] duplicated virtual folders &&
	  [Bug #11939] Dragged virtual folder structure doesn't save.

2008-03-10 12:18  ceniza

svn4941:  Help plugin:
	  * Bugfix: adding help files thru the ConfigDialog when there is
	  at least one added from the ini file makes some of the entries
	  point to the wrong file.

2008-03-09 19:59  dje

svn4940:  * Error pop ups can be enabled/disabled when a file no
	  longer exist or when file open fails.

2008-03-08 15:09  biplab

svn4939:  * Updated: Missed file in last commit.

2008-03-08 14:55  biplab

svn4938:  * Fixed: Broken build due to last commit.

2008-03-08 14:31  biplab

svn4937:  * Added: Automake files for Tricore Wizard.

2008-03-06 22:11  mariocupelli

svn4936:  wizards:
	  * add TriCore wizards as RAM/ROM version

2008-03-05 15:08  mortenmacfly

svn4935:  * CC: fixed wrong handling of inline fried methods in
	  classes

2008-03-04 21:20  byo

svn4934:  Fixed some problems with default / blank workspace

2008-03-04 21:13  byo

svn4933:  wxSmith: Fixed some problems with folding of
	  regenerated code

2008-03-04 20:19  byo

svn4932:  wxSmith: Fixed problems with encoding detection when
	  regenerating code

2008-03-04 20:13  byo

svn4931:  Fixed array-out-of-bounds bug when created
	  EncodingDetector providing filename

2008-03-04 17:51  byo

svn4930:  wxSmith: Fix the ANSI-compatibility fix (from rev.
	  4925)

2008-03-04 17:43  byo

svn4929:  wxSmith: Fixed bug #13270 - didn't store proportion
	  setting

2008-03-04 12:01  mandrav

svn4928:  * Added missing files for lf project wizard.

2008-03-02 21:43  mariocupelli

svn4927:  - correct typo

2008-03-02 16:56  afb

svn4926:  * fix autoconf's autorevision, it got broken with GPLv3
	  header in r4910

2008-03-02 08:13  afb

svn4925:  - minor string fix for building with ANSI wxWidgets

2008-03-01 19:21  mortenmacfly

svn4923:  * fixed compilation error with GCC34x ("converting to
	  execution character set: Illegal byte sequence")
	  * class wizard: added ability to autogenerate copy ctor and
	  equality operator
	  * debugger: made an (annoying) obvious warning message optional

2008-03-01 16:26  byo

svn4922:  wxSmith: Fixed bug #013238 crash possible while loading
	  wxStatusBar form xml data

2008-02-29 07:49  afb

svn4921:  - fix typo in wxMac platform

2008-02-29 07:43  afb

svn4920:  - update copyright year/info

2008-02-27 19:25  pecan

svn4916:  BrowseTracker 1.2.73 2008/02/27
	  - Set default BrowseMarks to disabled

2008-02-27 14:59  mandrav

svn4912:  - Updated AUTHORS file and aboutbox.

2008-02-27 13:50  mortenmacfly

svn4911:  - fixed lgpgv3 / gplv3 mismatch... dammed.

2008-02-27 13:34  mortenmacfly

svn4910:  - tagged all header files to GPLv3

2008-02-27 13:15  mortenmacfly

svn4909:  - tagged all fils to GPLv3

2008-02-26 21:55  mandrav

svn4908:  - Changed label's color from grey to black (was almost
	  invisible) in GCV
	  dialog.
	  * Changed license text to GPL-3.0 (still the file headers
	  remain),
	  * Updated about-box.

2008-02-26 21:54  killerbot

svn4907:  - InfoWindow clean up

2008-02-24 21:01  killerbot

svn4906:  * fix for multiple extensions/command for
	  compiler/linker commands and generated files [led to a crash of
	  CB in the end]

2008-02-23 14:14  mandrav

svn4905:  * Seemingly fixed dreaded DDE bug on windows.
	  * Parse project(s) for symbols when opening through the
	  command-line.

2008-02-23 13:49  mandrav

svn4904:  * Updated a couple of wizard scripts.

2008-02-23 04:05  biplab

svn4903:  * Fixed: Crash in Class wizard when class name is
	  empty.

2008-02-21 21:45  mariocupelli

svn4901:  - remove non existing file license.txt

2008-02-21 21:43  mariocupelli

svn4900:  - remove non existing file in CodeBlocks.cbp

2008-02-21 13:46  mortenmacfly

svn4899:  - fixed project files of a few contrib plugins
	  concerning non-PCH builds

2008-02-20 13:20  mortenmacfly

svn4898:  - updated doxygen doxy file to new doxygen version,
	  added all comments for documentation

2008-02-20 13:08  mortenmacfly

svn4897:  * envvars plugin: much more clever handling of
	  applying/discarding envvar sets with multiple projects
	  * envvars plugin: do not discard envvars that are not active
	  - envvars plugin: improved internal documentation

2008-02-19 14:02  pecan

svn4896:  BrowseTracker - guard all debugging asm(int3) with
	  debugging defined(LOGGING)

2008-02-19 11:57  afb

svn4894:  - fix building as universal binary

2008-02-18 20:06  killerbot

svn4893:  - fixed linux build due to removal of toolbox.h/cpp and
	  further clean up

2008-02-18 16:20  pecan

svn4892:  Removed file/folder

2008-02-18 16:15  pecan

svn4891:  BrowseTracker 1.2.71 2008/02/18
	  - removed unnecessary debugging files & references to ToolBox.*

2008-02-18 13:24  ceniza

svn4890:  Help plugin:
	  - Fixed: Menu items in *bold* are only available on Windows.

2008-02-18 12:54  killerbot

svn4889:  - include fix

2008-02-18 12:54  killerbot

svn4888:  - remove unneeded files

2008-02-18 11:24  ceniza

svn4887:  Help plugin:
	  * Help files read from index.ini are now added in *bold* to the
	  help menu, cannot be edited through the configuration dialog and
	  are not saved to the Code::Blocks config file.

2008-02-18 10:33  mortenmacfly

svn4886:  * compiler autodetection: allow mingw compiler suite to
	  be installed under [C::B]\mingw, too

2008-02-17 22:29  mariocupelli

svn4885:  help_plugin:
	  add help files of index.ini only once

2008-02-17 20:58  killerbot

svn4884:  - another update to get BrowserTracker building on
	  linux

2008-02-17 20:55  killerbot

svn4883:  - first update to get BrowserTracker building on linux

2008-02-17 20:50  killerbot

svn4882:  * added BrowseTracker to contribs

2008-02-16 12:00  biplab

svn4881:  * Fixed: Broken build due to last commit.

2008-02-16 06:51  biplab

svn4880:  * Fixed: Erratic behaviour (not all opened editor were
	  shown with their relative path) of Opened files tree right after
	  a Project load.

2008-02-15 15:17  mandrav

svn4879:  * Minor fixes in debugger (disassembly cursor tracking,
	  etc).

2008-02-15 09:43  mortenmacfly

svn4878:  - reverted r4877, added required debugger stop image to
	  complete r4876

2008-02-15 09:34  mortenmacfly

svn4877:  - removed duplicate images (now provided and only
	  required by the compiler plugin)

2008-02-15 09:29  mortenmacfly

svn4876:  - debugger plugin: renamed stop.png to dbgstop.png to
	  avoid conflicts with compiler

2008-02-13 08:00  mariocupelli

svn4875:  help_plugin:
	  - add support for including help files with index.ini in folder
	  docs

2008-02-12 18:18  pecan

svn4874:  KeyBinder 1.0.46 2008/02/12
	  - Killerbot changes to header includes 2008/12/28
	  - Fixed: [ Bug #12823 ] keybinder breaks layout submenu on linux

2008-02-11 20:21  killerbot

svn4873:  - remove warnings

2008-02-11 14:37  mandrav

svn4872:  * Fixed critical bug that could cause data corruption
	  in rare cases. Thanks to Ceniza for providing the reproducable
	  test case :)

2008-02-11 14:13  biplab

svn4871:  * Fixed: [Bug #13064] Copy contents with search results
	  and build messages

2008-02-11 09:38  killerbot

svn4870:  * Applied path 2369 (fixes Bug 13054) (thanks Jens)

2008-02-10 13:35  biplab

svn4869:  * Added: "Verbatim String" to Squirrel lexer (Thanks to
	  Wahooney).

2008-02-09 11:01  mandrav

svn4868:  * Fixed breakpoints set as pending not being able to be
	  removed.
	  * Fix for rare crash when removing a breakpoint (thx Pecan).

2008-02-07 22:19  dje

svn4867:  * C::B could crash or hang because of cbMessageBox
	  calls inside worker thread in ThreadSearch plugin (very big
	  thanks to Jens for this fix).

2008-02-06 11:55  mandrav

svn4866:  - Fix for gui apps pid regex

2008-02-06 11:52  mandrav

svn4865:  - Fixed breaking console apps - broken with last
	  relevant commit.

2008-02-06 11:39  mandrav

svn4864:  * View layout changes from plugins are not persistent
	  anymore.

2008-02-06 11:00  mandrav

svn4863:  * If trying to compile while debugging in progress, ask
	  to stop debugging (previously it wasn't allowed) - needs testing
	  on windows.
	  * Added cbDebuggerPlugin::Break().

2008-02-06 10:15  mandrav

svn4862:  * Fixed debugger to break (stop) non-console apps in
	  linux (iow, correctly get the child pid).

2008-02-02 23:38  dje

svn4861:  * Fixed crash happening with a right click in
	  ThreadSearch code preview editor
	  - Updated manifest.xml file path in ThreadSearch unix project.

2008-02-02 17:45  mandrav

svn4859:  * Fixed folding icons inconsistency in split-view mode
	  (bug #13062).

2008-02-02 17:19  mandrav

svn4858:  * Remote debugging settings are now correctly stored
	  per-project (internally).

2008-02-02 15:35  pecan

svn4857:  DragScroll 1.2.07 2008/02/2
	  - Fixed: On some Linux's context menu missing in loggers bec
	  mouse events always reported right-mouse was dragged. (Jens fix)

2008-02-02 12:32  mandrav

svn4856:  * Added editor folding level limit option (patch
	  #2335).

2008-02-02 12:25  mandrav

svn4855:  * New comment types: stream and box (patch #2173).

2008-02-02 11:59  mandrav

svn4854:  * Fixed debugger to get the child pid in windows. Was
	  broken with newer GDB versions. Bug #12944 (also contained the
	  fix, thanks).

2008-01-30 16:38  killerbot

svn4853:  - pch fix

2008-01-30 10:46  mortenmacfly

svn4852:  * fixed bug #13028 (user selection in ToDo list plugin
	  broken)

2008-01-30 09:27  mortenmacfly

svn4851:  polishing the class wizard:
	  - applied (heavily modified) variant of polygon7's patch
	  - re-designed UI (as it became quite ugly meanwhile)
	  - class wizard remember's it's most important settings (avoid
	  annoyance)

2008-01-29 21:45  byo

svn4850:  wxSmith: Switched to GPL v3 (no code change)

2008-01-29 17:22  pecan

svn4849:  DragScroll 1.2.05 2008/01/29
	  - Killerbot header and const changes (2007/12/28)
	  - Fixed: Middle-mouse conflict with msWindows paste (2008/01/29)

2008-01-28 21:10  killerbot

svn4848:  * bug fix for 64 bit

2008-01-26 19:11  mandrav

svn4846:  * Moved 'docs' folder one level up

2008-01-25 10:12  thomasdenk

svn4845:  - Added Mario's CHMs

2008-01-25 08:48  afb

svn4844:  - clean up copied file

2008-01-25 08:47  afb

svn4843:  - fix variable name typo in CLEANFILES

2008-01-25 08:44  afb

svn4842:  - fix build in subdir, copy instead of symlink

2008-01-24 15:38  mortenmacfly

svn4841:  - updated project files to match common strategy

2008-01-23 16:25  biplab

svn4840:  * Fixed: [Bug #12998] To-Do List broken on Windows

2008-01-22 12:37  thomasdenk

svn4839:  - Added ModPoller to source tree (is not in main build)

2008-01-21 23:37  byo

svn4838:  wxSmith: Fixed bug #012828 - changing pages in
	  notebook/listbook very quickly could cause a crash

2008-01-21 09:57  mariocupelli

svn4837:  docu:
	  - add link to CB wiki-page and more detailed description

2008-01-20 15:04  biplab

svn4836:  * Fixed: Auto-detection of GCC returns wrong master
	  path.

2008-01-19 20:28  biplab

svn4835:  * Fixed: Possible installation dir detection issues
	  with MSVC8 & above.
	  * Implemented: [FR# 3894] Support for Microsoft windows Vista SDK

2008-01-19 14:57  killerbot

svn4834:  * bug fix : don't show error save message box when the
	  user cancel-ed out during SaveAs

2008-01-18 22:10  killerbot

svn4833:  - pch fix

2008-01-18 08:00  mortenmacfly

svn4832:  * fixed several bugs in projectsimporter
	  * fixed bug in drag&drop mechanism concerning importing projects

2008-01-17 23:38  mariocupelli

svn4831:  docu:
	  - correct some typos and update screenshots in userguide

2008-01-16 23:54  mariocupelli

svn4830:  add docu for lib finder plugin (thx to byo)

2008-01-16 20:17  dje

svn4829:  Folding evolution integration for preview editor.

2008-01-16 15:58  mortenmacfly

svn4828:  * properly fix the "layout changed" issue (C::B asking
	  permanently about saving changed layout)

2008-01-16 00:18  mariocupelli

svn4827:  add scriptbindings for Virtual Targets and Project
	  Dependencies

2008-01-16 00:16  mariocupelli

svn4826:  update screenshots

2008-01-16 00:15  mariocupelli

svn4825:  add german version of docu (Auto Versioning)

2008-01-16 00:14  mariocupelli

svn4824:  add new compiler macros; examples for shell extension
	  (TortoiseSVN integration)

2008-01-15 20:43  byo

svn4823:  wxSmith:
	  * Fixed bug: some extra styles for dialog and frame were threated
	  as standard styles, removed few duplicates (found by
	  wvteijlingen)
	  * Fixed bug: invalid name of xrc node for HelpText property
	  (found by wvteijlingen)

2008-01-15 20:40  killerbot

svn4822:  - include fix

2008-01-15 16:15  biplab

svn4821:  * Fixed: [Bug #12941] cant make c code template

2008-01-15 12:55  mandrav

svn4820:  * Fixed bug in code-completion that would fail parsing
	  if found a comment right after a namespace declaration (e.g.
	  "namespace test //blah").

2008-01-15 10:11  mandrav

svn4819:  * Added $exe_dir, $exe_name and $exe_ext compiler
	  macros. Also fixed some layout problems with advanced compiler
	  options dialog.

2008-01-14 18:39  killerbot

svn4818:  - include fix

2008-01-14 18:27  pecan

svn4817:  CodeSnippets 1.2.113 2008/01/14
	  -Fixed: When a pgm started after CodeBlocks, and an editorFrame
	  started by the context menu closed, the external pgm got the
	  focus.

2008-01-14 07:45  mortenmacfly

svn4816:  - fixed several mem leaks
	  - consitency fixes

2008-01-13 23:42  mariocupelli

svn4815:  document new features of ThreadSearch, BrowseTracker
	  and add Autoversioning (EN)

2008-01-13 20:15  afb

svn4814:  - make fix work on case-sensitive fs too

2008-01-13 19:20  afb

svn4813:  - fix making tarball in a build subdirectory

2008-01-13 16:49  afb

svn4812:  - fix make dist on case-insensitive filesystem

2008-01-13 16:45  afb

svn4811:  - fix making tarball in a build subdirectory

2008-01-13 16:01  biplab

svn4810:  DLL Wizard: Target wizard would now be able to create
	  new target with different compiler.

2008-01-13 08:26  killerbot

svn4809:  - include fixes

2008-01-13 06:27  biplab

svn4808:  * Static Lib Wizard: Added to Target Wizard list.

2008-01-12 12:37  biplab

svn4807:  * Fixed: Broken Console wizard. Got Broken in last
	  commit.

2008-01-12 10:19  mandrav

svn4806:  * Fixed abbreviations behaviour on cancel (bug #11756).

2008-01-12 10:07  mandrav

svn4805:  * Changed courier font in about dialog to system's
	  monospace font (bug #12096).

2008-01-12 09:46  mandrav

svn4804:  * Fix for huge project properties dialog (when
	  lib_finder plugin is enabled).

2008-01-12 06:38  biplab

svn4803:  * Console Wizard:
	  - Fixed: Target wizard does not offer compiler selection combo.
	  - Fixed: Target wizard does not use target name for output file
	  directory.
	  - Fixed: Target wizard produces garbage output file name.
	  - Re-organized: Language selection dialog has been moved to the
	  beginning.

2008-01-11 09:34  killerbot

svn4802:  * ThreadedSearch 1.2
	  Bug fix :
	  - set focus to editor after double-clicking on item in the tree
	  logger
	  Evols:
	  - Splitter window mode can be chosen (vertical/horizontal) for
	  viewer and logger.
	  - Results can be sorted by file name or file path.
	  - Search results are saved/restored.

2008-01-10 11:24  mandrav

svn4801:  * When "add/edit watch" window is shown, focus the
	  textbox (bug #12691).

2008-01-10 11:19  mandrav

svn4800:  * Fixed wrong size of "file changed, reload?" dialog
	  (patch by jens).

2008-01-10 11:04  mandrav

svn4799:  * Fixed wrong log-windows indexing after toggling them
	  on/off (and hence changing appearence order) (bug #12842).

2008-01-10 10:33  mandrav

svn4798:  * Improved check for layout changes (bug #12827, patch
	  #2311).

2008-01-10 10:29  mandrav

svn4797:  * Correctly handle spin-control range in editor gutter
	  settings (patch #2328).

2008-01-10 10:26  mandrav

svn4796:  * Added options for more editor folding marker styles
	  (patch #2310).

2008-01-10 10:14  mandrav

svn4795:  * Fixed a couple of bugs in make_dist.script, added the
	  project file in the archive and also added the script to
	  autotools builds (patch #2296).

2008-01-10 09:57  mandrav

svn4794:  - SIGSTOP is now logged too while debugging.

2008-01-10 09:52  mandrav

svn4793:  * Fixed stopping debugging process in linux (patch by
	  Jerome Leveque).

2008-01-10 09:31  mandrav

svn4792:  * Added cbEVT_COMPILER_SET_BUILD_OPTIONS event (patch
	  #2302).

2008-01-10 07:33  mortenmacfly

svn4791:  - applied patch from stahta01: use $(#cb.include)
	  instead of $(#cb)\include

2008-01-09 11:41  afb

svn4790:  - make the D console sample compile with Tango too

2008-01-08 21:15  killerbot

svn4789:  - include fix (clean up)

2008-01-08 21:12  killerbot

svn4788:  - include fix

2008-01-08 09:05  mariocupelli

svn4787:  correct typo in file extension html

2008-01-07 17:13  pecan

svn4786:  CodeSnippets 1.2.111 2008/01/7
	  - Add ftp://,file://,.htm,.html to url checking.
	  - Add "(as filetype)" to Open File menu item for clarity.

2008-01-07 11:28  mortenmacfly

svn4785:  - landed in 2008
	  - removed unused gcc420 script usage

2008-01-06 18:02  killerbot

svn4784:  * avoid "#include <>" in classwizard when not using
	  inheritance (thanks wobien)

2008-01-06 09:36  killerbot

svn4783:  * fixed switch to Todo windows when it is in the Log
	  notebook (thanks Albenejean)

2008-01-05 22:14  mariocupelli

svn4782:  Add test if registry key exists before opening it

2008-01-05 16:18  killerbot

svn4781:  - make update script executable

2008-01-05 15:56  killerbot

svn4780:  - fix typo

2008-01-05 15:51  killerbot

svn4779:  - follow up for autotools

2008-01-05 15:43  killerbot

svn4778:  * added ThreadSearch to contrib plug-ins

2008-01-05 09:18  killerbot

svn4777:  - FreeBSd build fix

2008-01-04 19:29  mandrav

svn4776:  * Fixed crash when debugger's evaluation tooltip is
	  shown and a keyboard
	  shortcut was pressed to continue debugging.

2008-01-03 22:45  daniel2000

svn4775:  - another spec file change for mandriva

2008-01-03 22:33  byo

svn4774:  lib_Finder:
	  * lib_finder may now be incoked from build scripts - there's no
	  longer need to patch sdk. Such build script may be automatically
	  generated from libraries configuration panel.
	  * libraries configuration panel does show information whether the
	  sdk has been patched or not

2008-01-03 20:44  byo

svn4773:  wxSmith: Fixed bug with invalid selection for wxChoice
	  and wxComboBox when using XRC files (used invalid name of xml
	  node)

2008-01-03 19:09  daniel2000

svn4772:  * changes in spec file:
	  - added missing files to file list (libfinder)
	  - changed dependencies for mandriva
	  - some clean-ups

2008-01-03 15:21  mortenmacfly

svn4771:  - added tip

2008-01-03 12:36  mortenmacfly

svn4770:  * fixed several crashes of help plugin if no help files
	  are defined
	  - updated lockhacker project file to have the same style as all
	  other project files
	  * applied patch by jens to enable opening source files via
	  double-click in explorer again

2008-01-02 23:14  byo

svn4769:  lib_finder:
	  * Switched naming from "global var" to "short code" (lib_finder
	  doesn't use global vars only so the name was incorrect), NOTE:
	  this change require rescanning libraries
	  * Added support for predefined results (stored in xml files
	  simillarily to search filters) - when installing library it may
	  be easily registered inside lib_finder by simply adding xml file
	  with description of it, it also support relative paths (so it can
	  be used on pen-drives etc)
	  * Fixed bug in generation of defines while updating compile
	  options

2008-01-02 17:55  pecan

svn4768:  CodeSnippets 1.2.110 2008/01/2
	  - Change GetMessageManager to GetLogManager for wxMAC.

2008-01-01 23:02  byo

svn4767:  lib_finder: Forgot to update project files /
	  Makefile.am

2008-01-01 22:56  byo

svn4766:  lib_finder: Added class LibFinder with following static
	  functions into scripting system:
	  * bool AddLibraryToProject( const wxString& libName,cbProject*
	  project,const wxString& targetName)
	  * bool RemoveLibraryFromProject( const wxString&
	  libName,cbProject* project,const wxString& targetName)
	  * bool IsLibraryInProject( const wxString& libName,cbProject*
	  project,const wxString& targetName)

2008-01-01 21:44  byo

svn4765:  lib_finder:
	  * Library search filters are now read from both global data path
	  and user's data path
	  * Defines are added using CompilerSwitches::declarations
	  (previously used -D which would not work with VC compiler)
	  * Manager of library filters is no longer member of lib_finder
	  class - it's created only while detecting libraries, not needed
	  all the time

2007-12-28 23:07  byo

svn4764:  lib_finder:
	  * More integration with pkg-config
	  * Libraries and defines are now specified by their own
	  configuration options - no need to simulate through lflags and
	  cflags
	  * Changed L"..." strings to _T("...") ones - now it doesn't break
	  ANSI build

2007-12-28 23:02  byo

svn4763:  wxSmith: Reverted previous commit, it was definitely
	  not good (wxStaticBitmap did not work and I've commited some test
	  code)

2007-12-28 22:59  killerbot

svn4762:  - fix to error introduced a few revision ago

2007-12-28 22:41  byo

svn4761:  wxSmith: Generating better border around
	  wxStaticBitmap, should be visible on win now

2007-12-28 20:29  killerbot

svn4760:  - pch fixes

2007-12-28 13:38  killerbot

svn4759:  - follow up to previous commit

2007-12-28 13:32  killerbot

svn4758:  - fix some pch and includes (dragscroll)

2007-12-28 13:03  killerbot

svn4757:  - fix some pch and includes (cbkeybinder)

2007-12-28 11:45  killerbot

svn4756:  - adding the 2 new files

2007-12-28 11:40  killerbot

svn4755:  * cbStyledTextCtrl refactoring

2007-12-28 09:56  byo

svn4754:  wxSmith: Fixed bug when loading menus in resource using
	  XRC file

2007-12-27 22:40  byo

svn4753:  wxSmith: Added small border around wxStaticBitmap in
	  editor - it will help to work on this item since it tend to hide
	  somewhere on the screen ;)

2007-12-27 22:10  byo

svn4752:  lib_finder:
	  * Added integration with pkg-config - project can use libraries
	  provided by this tool
	  * Improoved library search utilities - can now select what
	  happens with previous search resutls
	  * List of directories with libraries is now remembered
	  * Few missing GPL headers

2007-12-25 08:13  killerbot

svn4751:  - include fix

2007-12-23 23:35  mandrav

svn4750:  * Fixed batch build dialog not painting correctly on
	  windows.
	  * Fixed crash in batch builds if build produced errors/warnings.

2007-12-23 14:41  killerbot

svn4749:  - debian build fix

2007-12-23 13:36  killerbot

svn4748:  * update AutoVersioning plugin to 1.1

2007-12-23 09:14  byo

svn4747:  Added libfinder configuration files to autotools

2007-12-23 04:59  biplab

svn4746:  * DLL Wizard: Generated code now avoids unnecessary
	  name mangling.

2007-12-23 00:42  byo

svn4745:  wxSmith: Fixed bug in configuration-writing procedure -
	  configuration was left as on load time when all wxSmith settings
	  were removed from project

2007-12-23 00:37  byo

svn4744:  Added svn:eol-style to compilercommandgenerator.cpp

2007-12-23 00:30  byo

svn4743:  Updated lib_finder to version 2.0:
	  * Added more filter types for library configurations (previously
	  there were only file names), added filters: platform, compiler,
	  exec
	  * Configuration can now have sub-configuration structure (can
	  group same filters or settings in parent configuration node)
	  * Search results are now remembered inside lib_finder
	  configuration (global variables couldn't store everything needed)
	  * lib_finder integrates with projects - you can specify which
	  libraries does project use. With small patch for c::b sdk
	  lib_finder will automatically add compiler options required by
	  these libraries while project is compiled (everything done
	  on-the-fly)
	  - this allow to have ONLY ONE PROJECT FOR MULTIPLE PLATFORMS -
	  tested on wxWidgets project
	  * Started writing code which will allow reading configurations
	  from pkg-config databases
	  * Added configurations for wxWidgets 2.8 monolithic dll
	  ansi/unicode

2007-12-21 23:05  byo

svn4742:  wxSmith: Fixed bug #12596 - Code generates "new
	  wxMenuItem()" instead of "new wxMenu()"

2007-12-20 10:50  mandrav

svn4741:  * Added "make_dist" script to create an archive of all
	  files included in a project. Enable it in "Settings->Scripting".
	  It adds a new menu item in "Project" menu. It also serves as a
	  nice and simple example on how to create a script-based plugin.

2007-12-20 10:45  mandrav

svn4740:  * Correctly locate and run startup scripts, even if not
	  located in the pre-defined "scripts" folder.

2007-12-17 22:21  thomasdenk

svn4739:  - deleted .objs dir

2007-12-17 16:37  thomasdenk

svn4738:  - Checked in loghacker sources lest they be lost by
	  accident

2007-12-16 13:27  afb

svn4737:  - codesnippets: disable GetMessageManager calls, to
	  compile on Mac OS X

2007-12-16 05:36  biplab

svn4736:  * Fixed: Couple of MSVC project import bugs.

2007-12-16 04:28  biplab

svn4735:  * Applied: [Patch #2282] EndAddFiles() called instead
	  EndRemoveFiles()

2007-12-15 13:57  thomasdenk

svn4734:  - Added yet another compilation guard for wx 2.6

2007-12-14 23:28  mandrav

svn4733:  * Fixed brain-damaged CodeBlocksLogEvent constructor.

2007-12-14 23:25  mandrav

svn4732:  * Fixed paths breaking builds when containing
	  parentheses (non-windows platforms affected).

2007-12-14 14:17  mortenmacfly

svn4731:  * added sharedlib wizard/template (thanks jens)

2007-12-13 22:36  killerbot

svn4730:  - typo fix

2007-12-13 08:36  thomasdenk

svn4729:  . Non-PCH include

2007-12-12 18:08  thomasdenk

svn4728:  - Moved around and renamed a lot of things to make code
	  clearer

2007-12-12 18:05  thomasdenk

svn4727:  - removed rubbish
	  - added panic
	  - added comment

2007-12-12 14:32  mandrav

svn4726:  - Avoid large compiler settings dialog by adjusting a
	  few sizers (patch #2262).

2007-12-12 14:27  mandrav

svn4725:  * Don't try to load a non-existing project (patch
	  #2274).

2007-12-12 14:22  mandrav

svn4724:  * Sort plugins alphabetically in Plugins menu (patch
	  #2275).

2007-12-12 14:16  mandrav

svn4723:  * Added default keyword and keyword case support
	  options in help configuration (patch #2114).

2007-12-11 17:04  thomasdenk

svn4722:  - Removed all WXCHECK_VER(). Hopefully did not break
	  anything... Windows looks ok.

2007-12-11 16:45  thomasdenk

svn4721:  - Hmph... removed multiple definition

2007-12-11 15:15  thomasdenk

svn4720:  - Added wxWidgets 2.8 compile guard

2007-12-10 16:27  thomasdenk

svn4719:  - Bringing repo and working copy into a (hopefully)
	  in-sync state again

2007-12-09 22:12  killerbot

svn4718:  - debian build fixes for new plugin

2007-12-09 17:51  killerbot

svn4717:  - case fix

2007-12-09 17:38  killerbot

svn4716:  - little update to previous commit

2007-12-09 17:25  killerbot

svn4715:  * added AutoVersioning plugin to contrib's

2007-12-08 16:53  killerbot

svn4714:  * removed use of warning reducing script from win cbp's
	  (wx287 solved the major part and the rest has been done by
	  previous commits)

2007-12-07 13:57  mortenmacfly

svn4713:  * fix error that file is opended twice on C::B startup
	  (Shell Open and DDE Open)
	  - use wx2.8 API to obtain the temp folder (remove workaround
	  therefore)

2007-12-07 08:53  mortenmacfly

svn4712:  * debugger: fixed broken cygwin debugging when using
	  cygprefix "/"
	  * scripted wizard: added suppot for cygwin compiler

2007-12-06 15:36  pecan

svn4711:  CodeSnippets 1.2.108 2007/12/02
	  - force close of xml in OnRelease() (Closing window causes
	  crashes)
	  - immediately delete canceled "New category" & "New snippet"
	  items, ignore filelinks in canceled properties. Avoids
	  unnecessary "delete?" dialog.
	  - fix macro substitution in CheckForMacros() broken by mod 99.

2007-12-06 14:56  thomasdenk

svn4710:  - Reverted changes to ipc.cpp and ipc.h
	  - Removed from makefile.am

2007-12-06 08:03  mortenmacfly

svn4709:  * fixed build on windows

2007-12-06 07:40  killerbot

svn4708:  - additional fix a previous commit

2007-12-05 22:19  killerbot

svn4707:  - include fixes

2007-12-05 22:18  killerbot

svn4706:  - include fixes [header including sdk.h and others ...
	  : ] GCC4.3 gives segfault ...

2007-12-05 22:05  killerbot

svn4705:  - include fix for strlen (we have been lucky all these
	  years, but not for gcc 4.3) : NOTE : this header has a using
	  namespace std; entry : how f*** bad

2007-12-05 21:36  daniel2000

svn4704:  - added missing build dependency in spec file
	  - some regexp enhancements in version checks of bootstrap

2007-12-05 13:36  mandrav

svn4703:  * Added events for begin/end multiple files
	  addition/removal.
	  * CodeBlocksLogEvent is now handled by Manager (in other words
	  don't use the obsolete
	  Manager::Get()->GetAppWindow()->ProcessEvent() but use
	  Manager::Get()->ProcessEvent() instead).
	  * If a non-logger is added using cbEVT_ADD_LOG_WINDOW, it is NOT
	  deleted with cbEVT_REMOVE_LOG_WINDOW anymore.

2007-12-05 10:18  byo

svn4702:  wxSmith:
	  * Added wxSingleChoiceDialog

2007-12-04 22:39  byo

svn4701:  wxSmith:
	  * Added wxMultiChoiceDialog

2007-12-04 15:45  mariocupelli

svn4700:  fix: [Bug #11976 ] Incorrect options for msp430-gcc

2007-12-03 22:22  byo

svn4699:  wxSmith:
	  * Added wxFileDialog
	  * Added wxDirDialog
	  * Some small code reorganizations

2007-12-03 11:17  mortenmacfly

svn4698:  * applied patch of jens to make info panels hide again

2007-12-02 18:01  pecan

svn4697:  KeyBinder 1.0.44 2007/12/2
	  - add non-pch logmanager headers
	  - fix skipping of previously opened editors

2007-12-02 14:09  killerbot

svn4696:  * fix crash on exit (todo list is the cause)

2007-12-01 18:30  pecan

svn4695:  CodeSnippets 1.2.105 2007/12/01
	  - fix Linux crash when CB terminates and window is floating
	  - on Linux disable drag/drop out of Project panel because of
	  recurring drag/drop freeze bug

2007-11-30 23:23  byo

svn4694:  wxSmith:
	  * Added wxColourDialog support
	  * Added %l Codef formatting char (to get coLour)
	  * Added one unified function producing unique names for temporary
	  variables in generated code

2007-11-29 21:13  killerbot

svn4693:  - remove 268 warnings : the joy of const

2007-11-29 20:48  killerbot

svn4692:  - pch include fix

2007-11-29 18:28  pecan

svn4691:  DragScroll 1.2.04 2007/11/29
	  - add non-pch logmanager header
	  - correct "focus follows mouse" event on long compilations
	  - correct editor focus event on long compilations
	  - remove unused MouseRightKeyCtrl code

2007-11-29 18:26  pecan

svn4690:  CodeSnippets 1.2.104 2007/11/29
	  - mods to compensate for CB OpenFilesList change
	  - fixed incorrect selection of dragged tree item
	  - make it easier to rename a catagory
	  - Add "U" xpm for url type snippets

2007-11-29 14:04  mortenmacfly

svn4689:  * fixed some (further) possible crash candidates (added
	  null pointer sanity checks)

2007-11-29 13:21  mandrav

svn4688:  * Fixed possible crash on app shutdown.

2007-11-29 11:50  biplab

svn4687:  * Edited last commit.

2007-11-29 11:15  mortenmacfly

svn4686:  * fixed file assocs dialog appearing again and again
	  - removed an extra semi-colon

2007-11-29 09:05  mortenmacfly

svn4685:  - cb_koders (unix): removed wrong wx configuration

2007-11-29 09:00  biplab

svn4684:  * Applied: [Patch #2261] Allow jumping to the first
	  line in Go to line dialog

2007-11-28 19:30  biplab

svn4683:  * Applied: [Patch #2260] Show possible line number
	  ranges in Go to line dialog

2007-11-28 19:17  biplab

svn4682:  * Fixed: [Bug #12564] insert class methods inserts
	  wrong methods

2007-11-27 21:40  byo

svn4681:  wxSmith: Added remembering of selected property while
	  changing selected item

2007-11-27 12:57  mortenmacfly

svn4680:  * todo list plugin: allow deleting a user

2007-11-27 11:37  biplab

svn4679:  * Fixed: Clicking on To-Do's in To-Do list does not
	  focus the concerned line.

2007-11-27 01:22  thomasdenk

svn4678:  - Removed that "fixed const" function from Logger,
	  since it doesn't belong there at all
	  - Replaced pure virtual Clear() function with empty
	  implementation at base
	  - Moved Append() function visually away from the others and added
	  documentary comment

2007-11-26 23:04  byo

svn4677:  wxSmith: Fixed few issues with linux cbp file

2007-11-26 08:37  mortenmacfly

svn4676:  - documented lastest C::B patches in squirrel/sqplus
	  (for 64bit)

2007-11-24 21:09  killerbot

svn4675:  - remove warning in pdffont.cpp (wxChar range)

2007-11-24 20:32  killerbot

svn4674:  * include fixes

2007-11-24 17:49  killerbot

svn4673:  * const and header fixes in the logger

2007-11-24 15:23  killerbot

svn4672:  * corrected some SwitchTo's (thanks to kaml)

2007-11-24 14:56  killerbot

svn4671:  * get rid of warnings (squirrel)

2007-11-24 14:55  killerbot

svn4670:  * get rid of warnings (propgrid)

2007-11-24 14:54  killerbot

svn4669:  * get rid of warnings : wxSmithContribItems (wxChart
	  and wxThings)

2007-11-24 14:50  killerbot

svn4668:  - get rid of warnings (sdk and codesnippet)

2007-11-24 08:35  mandrav

svn4667:  * Fix in squirrel/sqplus for 64-bit builds.

2007-11-23 15:05  mandrav

svn4666:  * Fixed crash when disabling the compiler plugin (don't
	  ask why one would even do that!)

2007-11-23 10:24  biplab

svn4665:  * GTK+ Project Wizard: Newly added GTK+ 1.x project
	  creation has been disabled (sample code won't compile with it).

2007-11-23 10:16  mandrav

svn4664:  * Added info message in wx_help.script, if wx docs
	  can't be found.

2007-11-23 10:12  killerbot

svn4663:  * support for VisualStudio 2008 (aka VC9)

2007-11-23 10:05  biplab

svn4662:  * Extended: GTK+ project wizard to work on Linux
	  (Implemented [FR #3494] partially).

2007-11-23 08:44  biplab

svn4661:  * Applied: [Patch #2249] Fix macros in pre/post build
	  when building workspace

2007-11-22 14:30  mandrav

svn4660:  * Editor-performance-killer killed :).

2007-11-22 13:47  mortenmacfly

svn4659:  - (hopefully) fixed broken build on Linux 64-bit
	  - Squirrel/SQPlus: int->SQInteger, float->SQFloat

2007-11-22 08:41  killerbot

svn4658:  - fix build : got broken due to previous commit

2007-11-22 07:59  biplab

svn4657:  * Fixed: Possible non-pch build issue with loggers.cpp
	  file.

2007-11-21 16:44  killerbot

svn4656:  * fix squirrel 64 bit build

2007-11-21 11:27  killerbot

svn4655:  * wxFNB : reduce warnings

2007-11-21 06:00  biplab

svn4654:  * Fixed: [Bug #12249] Couldn't compile the file
	  'filemanager.cpp'

2007-11-21 04:48  biplab

svn4653:  * Applied: [Patch #2251] Remove wxNO_BORDER from Global
	  variables' Delete button

2007-11-21 04:42  biplab

svn4652:  * Applied: [Patch #2250] Process Enter key in script
	  command text control

2007-11-21 04:31  biplab

svn4651:  * [Patch #2252] Fix crash when removing file outside CB
	  (In modified form)

2007-11-20 22:46  byo

svn4650:  wxSmith:
	  * wxSmith browsers can now be put inside dockable panes
	  * Removed some unused code causing a warning in wxsSplitterWindow
	  class

2007-11-20 09:34  mortenmacfly

svn4649:  - tag all C::B related patches to Squirrel/SQPlus by
	  using a comment starting with "// C::B patch:"

2007-11-19 15:31  mortenmacfly

svn4648:  * update from Squirrel 2.1.0/SQPlus 1.7 to Squirrel
	  2.1.1/SQPlus 2.3
	  - updated all script bindings accordingly

2007-11-19 13:46  killerbot

svn4647:  - remove warning

2007-11-19 12:54  killerbot

svn4646:  * removed warnings in the exporter plug-in (the pdf
	  library)

2007-11-19 12:44  killerbot

svn4645:  - remove warnings

2007-11-19 11:41  killerbot

svn4644:  * remove some warnings in squirrel : !!!!const!!!!
	  SQChqr* in declare_stream

2007-11-19 11:27  killerbot

svn4643:  * remove warnings in
	  wxscintilla/src/scintilla/src/LexNsis.cxx

2007-11-19 10:23  biplab

svn4642:  * Fixed: A build warning about the improper PCH header
	  use.

2007-11-19 10:09  biplab

svn4641:  * Reverted: Revision 4483 commit.

2007-11-16 17:39  biplab

svn4640:  * Applied: [Patch #2247] Revert part of Patch #2234

2007-11-15 14:54  killerbot

svn4639:  - little build fix for help plug-in on windows

2007-11-15 13:24  mandrav

svn4638:  * Fixed autotools build for help plugin.

2007-11-15 12:56  mandrav

svn4637:  * GDB types script now uses member variable directly
	  for evaluating wxString. Fixes issues while debugging a static wx
	  build (patch #2236).

2007-11-15 12:44  mandrav

svn4636:  * Fixed menu View->ManViewer to be in sync (patch
	  #2226, bug #12306).

2007-11-15 12:39  mandrav

svn4635:  * Fixed bug with ${TARGET...} macro not always being
	  correct (patch #2234, bugs #12193 and @11665).

2007-11-15 12:32  mandrav

svn4634:  - Fixed crash in editorcolourset (patch #2243).

2007-11-15 11:14  mandrav

svn4633:  * Added back/forward buttons to embedded HTML viewer.
	  * Added "App.Open()" script binding.
	  * In help plugin, user can add Code::Blocks scripts as help
	  items. Such scripts should contain a "SearchHelp(keyword)"
	  function. Sample help script included for wxWidgets docs.

2007-11-14 23:44  byo

svn4632:  wxSmith: Fixed bug #12367: items color not changing
	  (AARGH, Can anyone clean up this mess in wxPropertyGrid ????? )

2007-11-14 22:44  daniel2000

svn4631:  - changes in spec file:
	  - added new plugin to file list
	  - added make job number "detection"

2007-11-13 14:19  mandrav

svn4630:  * Moved firing of cbEVT_EDITOR_CLOSE in EditorBase so
	  foreign editors send it too (e.g. wxSmith). Caused a bug in open
	  files list plugin.

2007-11-13 14:17  mandrav

svn4629:  - Moved singletons instantiation variables to their
	  respective compilation units. Now they are true singletons.

2007-11-12 22:32  byo

svn4628:  wxSmith:
	  * Remove DGBLOG entreis I forgot to remove (thanx killerbot for
	  finding them)
	  * Make font face name non-translated string (was translated
	  before)

2007-11-12 20:45  killerbot

svn4627:  - commented out DBGLOG : does not exist anymore

2007-11-12 19:38  byo

svn4626:  wxSmith: Fixed few problems with toolbar editor

2007-11-12 17:54  mandrav

svn4625:  - Fix for app-log and debug-log not created and also
	  for colors in build log.

2007-11-12 14:56  mandrav

svn4624:  - Fixed a couple of uninitialized variables (patch
	  #2239).
	  - Fixed a warning.

2007-11-12 12:20  mortenmacfly

svn4623:  - fixed minor bug that empty html log window was opened
	  on windows (fixes r4622)

2007-11-12 11:35  mandrav

svn4622:  * HTML log file is now a link in build log and can be
	  clicked to open.
	  * Files extension handler has been updated to recognize HTML
	  files and open them in the embedded viewer, if the user has NOT
	  added a different association manually.

2007-11-12 10:22  mortenmacfly

svn4621:  - updated (forgotten) update scripts for openfileslist
	  plugin

2007-11-12 10:05  mortenmacfly

svn4620:  - updated project files for open file list plugin

2007-11-12 09:42  mortenmacfly

svn4619:  - finalised removal of messagemanager (also in debug
	  outputs)

2007-11-12 09:35  mandrav

svn4618:  * Added "open in embedded viewer" option in help
	  plugin. This way, simple HTML files (no javascript, exotic CSS,
	  etc!) can be opened inside Code::Blocks instead of external
	  browser. A good example is wxWidgets docs.

2007-11-11 20:41  killerbot

svn4617:  - include fixes (Thanks Tim S)

2007-11-11 09:24  mandrav

svn4616:  * Moved open files list to a separate plugin.

2007-11-10 19:40  mandrav

svn4615:  - Fixed debugger's debug log not being shown/hidden
	  when changed in options.

2007-11-10 19:35  mandrav

svn4614:  - Minor fix in statusbar.
	  - Color fix in ListCtrlLogger.

2007-11-10 16:54  mandrav

svn4613:  * App's debug log is now only present if --debug-log
	  option is used.
	  - Fixed search results double-clicking to work again.

2007-11-10 16:25  mandrav

svn4612:  - Fix silly bug in debugger plugin.

2007-11-10 15:56  killerbot

svn4611:  - fixing windows

2007-11-10 15:48  killerbot

svn4610:  - fixing windows

2007-11-10 15:30  killerbot

svn4609:  - fixing windows

2007-11-10 15:17  killerbot

svn4608:  - fix win cbp file

2007-11-10 15:07  killerbot

svn4607:  - remove some warnings (const ...)

2007-11-10 13:16  mandrav

svn4606:  * Welcome the new log manager.

2007-11-09 22:21  byo

svn4605:  wxSmith: Added missing wxPG_USE_WXMODULE=0 macro

2007-11-09 13:51  mortenmacfly

svn4604:  - fixed bugs in some unix project files

2007-11-08 23:27  byo

svn4603:  wxSmith:
	  * Fixed bug with tree labels not changing value after variable
	  name was changed
	  * Fixed crash on exit when did not want to save .wxs file

2007-11-07 22:16  byo

svn4602:  wxSmith: Added missing Makefile.am

2007-11-07 15:26  byo

svn4601:  Moved wxPropertyGrid into wxSmith folder (it's no
	  longer part of sdk)

2007-11-06 13:23  thomasdenk

svn4600:  - Same as r4272 long, long ago, except this time it
	  shouldn't give a compiler error

2007-11-05 11:09  mandrav

svn4599:  * Fix rare crash in debugger plugin.
	  * Separated per-target additional gdb commands in two steps: a)
	  before establishing remote connection (if any) and b) after
	  remote connection is established.
	  * Added per-target debugger option to skip adjusting
	  LD_LIBRARY_PATH

2007-11-05 08:50  mandrav

svn4598:  * Fixed debugger to stop on first breakpoint in linux
	  (patch #2225).

2007-11-05 08:47  mandrav

svn4597:  * Fixed functions toolbar being cleared when closing a
	  non-active editor (patch #2227).

2007-11-04 05:06  biplab

svn4596:  * wxWidgets Wizard: Added script to reduce warning
	  while compiling generated app with gcc-4.x.

2007-11-04 04:32  biplab

svn4595:  * Fixed: [Bug #12264] Crash when disabling "keyboard
	  shortcuts" (Thanks Pauliusz for the patch)

2007-11-03 23:31  mariocupelli

svn4594:  add display of personality in the status bar

2007-11-03 23:29  mariocupelli

svn4593:  set maximum value of keywordSet parameter of
	  SetKeyWords

2007-11-02 16:23  killerbot

svn4592:  - revert r4584

2007-11-01 12:28  mandrav

svn4591:  * Use absolute paths when adjusting LD_LIBRARY_PATH in
	  compiler and debugger.

2007-10-31 23:55  byo

svn4590:  Updated wxPropertyGrid to 1.2.10 and removed
	  wxPG_COMPATIBILITY_1_0_0 flag

2007-10-31 15:41  mortenmacfly

svn4589:  - updated doxygen documentation script (and fixed
	  documentation compilation errors)

2007-10-31 15:32  mortenmacfly

svn4588:  * fixed cbThrow due to last commit: ARM and AVR
	  compiler were registered twice (Windows only)

2007-10-31 11:30  mandrav

svn4587:  * Enabled ARM and AVR compilers for linux (patch
	  #2219).
	  * Added directory selection for implementaion file in class
	  wizard (patch #2211).
	  * Re-organized class wizard a bit.
	  * New lexers for vhdl and verilog (patch #2199).
	  * ./configure option --with-contrib-plugins now accepts -name to
	  exclude plugins from list (e.g. --with-contrib-plugins=all,-help
	  would exclude the help plugin)(patch #2190).
	  * Added "copy selected messages to clipboard" in build messages
	  context menu (patch #2102).

2007-10-31 10:33  mandrav

svn4586:  * Do not force adding search paths for compiler if not
	  set by the user (patch #2106).

2007-10-31 10:02  mandrav

svn4585:  * Possible fix for "always rebuilds files" case with
	  gcc 4.2.x (bug #12167). You need to rebuild depslib and compiler
	  plugin for the fix to take effect.

2007-10-31 09:48  mandrav

svn4584:  * Debugger no longer uses unix notation for breakpoint
	  filenames.

2007-10-31 09:28  mandrav

svn4583:  - Remove warning from sqstdio.h when building with gcc
	  4.1.3.
	  - Minor code change in class browser builder (reported as
	  possible fix for bug #12261).

2007-10-30 18:24  pecan

svn4582:  CodeSnippets 1.2.100 2007/10/30
	  - Added print facility to app
	  - change GetAppWindow to GetAppFrame
	  - Fix crash in CodeSnippetsTreeCtrl::OnIdle when plugin disabled
	  - Fix crash in CodeSnippetsWindow::OnClose when plgn disabled &
	  CB shuts down
	  - Fix crash in CodeSnippetsTreeCtrl::OnIdle when float/docked
	  window switched
	  - Incorporate killerbot.cbp and ceniza valgrind mods
	  - Implement macro substitution requested by mariocup
	  - Stop crashes: disallow re-enabled plugin until CB reloads

2007-10-29 20:43  killerbot

svn4580:  - remove some more warnings

2007-10-29 18:20  biplab

svn4579:  * Fallback encoding of encoding detection code is set
	  to user-defined one.

2007-10-29 10:21  mortenmacfly

svn4576:  - modified all project files to have any attached
	  scripts in the src folder (rather than in root)

2007-10-29 10:12  mortenmacfly

svn4575:  - compilation fixes for MinGW 3.4.5

2007-10-29 08:12  mortenmacfly

svn4574:  - removed remaining artefacts of TIXML_USE_STL

2007-10-29 07:03  killerbot

svn4573:  - undo-ed a const

2007-10-28 22:05  killerbot

svn4572:  - remove warnings

2007-10-28 21:48  killerbot

svn4571:  -remove warnings

2007-10-28 21:42  killerbot

svn4570:  - remove warnings

2007-10-28 21:32  killerbot

svn4569:  - remove warnings

2007-10-28 21:12  killerbot

svn4568:  - remove warning

2007-10-28 21:08  killerbot

svn4567:  - remove warnings

2007-10-28 14:32  killerbot

svn4566:  * add script to surpress stupid wx warnings when
	  building with MinGW 4.2.1
	  * removed TIXML_USE_STLM define from all cb project files
	  (windows)

2007-10-27 19:06  biplab

svn4565:  * Set the fallback encoding to ISO-8859-1 on Linux.

2007-10-26 20:33  byo

svn4564:  wxSmithContribItems: Added missing GPL headers

2007-10-26 18:46  biplab

svn4563:  * Cleaned up conversion routine to take advantage of
	  latest FileManager code.
	  * Added: Support to use user-specified encoding if auto detection
	  & conversion fails.

2007-10-26 17:48  biplab

svn4562:  * Applied: [Patch #2220] Fix target deletion (A bug
	  affecting Linux users, thanks Pauliusz for patch)

2007-10-25 19:50  byo

svn4561:  wxSmithContribItems: Added wxFlatNotebook support in
	  windows

2007-10-25 18:05  biplab

svn4560:  * Removed: Addition of TIXML_USE_STL definition to
	  plugin projects.

2007-10-25 17:33  byo

svn4559:  wxSmithContribItems: Added wxFlatNotebook support (made
	  by olivetti) for linux build (windows support will be added soon)

2007-10-24 07:27  mariocupelli

svn4558:  add screenshots of documentation

2007-10-24 07:26  mariocupelli

svn4557:  update docu: ThreadSearch 0.9 and some hints for users

2007-10-24 04:44  biplab

svn4556:  * Fixed: Broken build on Linux (Finally!!)

2007-10-23 16:34  biplab

svn4555:  * Fixed: Broken build due to last commit.

2007-10-23 13:38  biplab

svn4554:  * Implemented: [Feature Request #3747] Import Project
	  needs to be disabled

2007-10-23 08:31  biplab

svn4553:  * Fixed: A possible lock-up during UTF-8 encoding
	  detection.

2007-10-22 21:11  byo

svn4552:  wxSmith: Fixed bug in xrc-fetching code (items were not
	  fetched at all)

2007-10-22 13:30  biplab

svn4551:  * Non-pch build fix. (Thanks Tim S.)

2007-10-22 11:50  thomasdenk

svn4550:  - reverted accidential #if 1 commit 3 days ago
	  - added unused warning to loader function

2007-10-22 10:42  biplab

svn4549:  * Reverted: Default encoding will be the system
	  encoding (as opposed to ASCII committed in previous revision).
	  * CleanUp: Unused detection code.

2007-10-22 09:43  biplab

svn4548:  * Improved: Unicode support in C::B.
	  - Improved: Encoding detection of files. [Using portion of
	  MadEdit's(http://madedit.sourceforge.net/) code]
	  - Replaced: File Load/Save routine with a with better Encoding
	  detection and conversion support.
	  - Improved: Project file loading which will try to load all
	  opened editor files at once reducing the load time.
	  - CC: replaced ANSI with Unicode methods (using MortenMacFly's
	  patch)
	  - CC: converted STL to wxWidgets where required for unicode
	  support (using MortenMacFly's patch)

2007-10-20 17:43  biplab

svn4547:  * Added: OpenMP compiler flag to Intel compiler.
	  * Fixed: OpenMP remark is detected as an error (affecting Intel
	  compiler).

2007-10-19 20:35  byo

svn4546:  wxSmith: Fixed bug for wxStdDialogButtonSizer when
	  using XRC file

2007-10-19 13:07  mariocupelli

svn4545:  add parsing of note messages of GNU based compilers

2007-10-19 09:05  biplab

svn4544:  * Fixed: Compiler 'note' is detected as an error.
	  (Thanks to gmb300)

2007-10-18 21:44  byo

svn4543:  wxSmith: Fixed bug when generating event handler by
	  double-clicking on item

2007-10-18 19:35  biplab

svn4542:  * Removed: Reference of a non-existent header.

2007-10-18 11:42  thomasdenk

svn4541:  - FileManager::Load data is null-terminated now

2007-10-15 20:18  byo

svn4540:  wxSmith: Fixe dbug with missing <wx/xrc/xmlres.h>
	  header file

2007-10-15 19:18  killerbot

svn4539:  - let's battle the zillion warnings with MinGW 4.2.1
	  (PART 3) : getting rid of warnings by being const correct (life
	  is sweet ...)

2007-10-15 18:56  killerbot

svn4538:  - let's battle the zillion warnings with MinGW 4.2.1
	  (PART 2) : getting rid of warnings by being const correct (life
	  is sweet ...)

2007-10-15 17:21  byo

svn4537:  wxSmith: Fixed bug with invalid declaration generated
	  for sizers when using xrc file

2007-10-15 13:56  killerbot

svn4536:  - let's battle the zillion warnings with MinGW 4.2.1
	  (PART 1) : getting rid of warnings by being const correct (life
	  is sweet ...)

2007-10-15 13:37  killerbot

svn4535:  - add .S62 to the assembler file types

2007-10-15 11:31  mortenmacfly

svn4534:  - added forgotten file of previous commit

2007-10-15 11:17  mortenmacfly

svn4533:  * do not allow the uiser to enter an empty project
	  title (and thus project name)

2007-10-14 21:49  daniel2000

svn4532:  - make dist fix (missing header)
	  - applied changes made on obs to spec file (only tested on suse)

2007-10-14 08:16  biplab

svn4531:  * Updated: Few macros for Linux. (Thanks mario for
	  pointing this)

2007-10-13 14:22  byo

svn4530:  wxSmith: Enabled PCH gard (list of headers is now
	  splitted into two parts when pch file is used - one for includes
	  already in pch file and second for those headers that are not
	  included in pch)

2007-10-13 08:19  afb

svn4529:  - use portable sed (avoid -i flag)

2007-10-13 07:52  afb

svn4528:  * only check for pkg-config/gtk+-2.0 when actually
	  using wxGTK

2007-10-12 21:24  byo

svn4527:  wxSmith: Enabled forward declarations switch for new
	  resources (such resources will create forward declaration instead
	  of including header where it's possible)

2007-10-12 20:13  byo

svn4526:  wxSmith: Fixed bug in %o nd %r formattings (so valid
	  item is now passed to SetSizer or Sizer->Add etc)

2007-10-12 18:44  biplab

svn4525:  * Fixed: [Bug #12110] "Output filename" doesn't get
	  saved correctly in project

2007-10-12 11:22  mandrav

svn4524:  * Auto-generated files now correctly work with multiple
	  targets/compilers.
	  * Compiler command line macro $file_basename deprecated. Use
	  $file_name instead. Also added $file_dir and $file_ext.

2007-10-11 21:05  byo

svn4523:  wxSmith: wxSmith now remembers last directory used for
	  source/header/xrc files while generating new resource

2007-10-11 10:09  thomasdenk

svn4522:  - Changed multiply with arbrytary constant to
	  power-of-2 (bit shift)
	  - Added Function to convert Version::eval back to major, minor,
	  revision

2007-10-10 21:50  byo

svn4521:  wxSmith: Fixed crash in wxsListBook

2007-10-10 10:45  mandrav

svn4520:  * Fix: when a file is auto-generating other files to be
	  compiled, wait
	  for it to finish compiling before proceeding to compile the
	  generated
	  files (so as to ensure the generated files are valid).

2007-10-09 21:15  byo

svn4519:  wxSmith:
	  * Switched Child->GetVarName() to %o where it was possible in
	  Codef function (it's much safer than quering child's variable
	  using GetVarName())
	  * Fixed memory leak in wxsItemEditor (thanx to valgrind ;) )
	  * Changed names generated for internal variables used for code
	  generation (they should be safer now)
	  * Cleaned up some code

2007-10-09 12:33  biplab

svn4518:  * Modified: Console wizard to add exception handling
	  only for C++ projects.

2007-10-08 06:16  biplab

svn4517:  * Fixed: Fatal crash if "Go to header/source file"
	  option is clicked in wxSmith resource tree.

2007-10-08 03:22  biplab

svn4516:  * Fixed: Broken wxSmith support in wxWidgets wizard.

2007-10-06 17:03  killerbot

svn4515:  * fix for the new build system (all devs have a look at
	  globals.cpp and the killerbot remark !!!)

2007-10-06 04:06  biplab

svn4514:  * Fixed: [Bug #12119] New File creation -> no default
	  code

2007-10-05 23:08  byo

svn4513:  wxSmith:
	  * Added grouped file updates and few optimizations - time of
	  regenerating source code (when files were not opened in editor)
	  is now up to 7-10 times faster
	  * Added delayed code update (performed 0,5 sec after resource
	  change) which together with grouped updates improved
	  responsiveness of resource editor

2007-10-05 19:17  biplab

svn4512:  * Fixed: [Bug #12113] CB crashes when using misspelled
	  compiler

2007-10-05 10:03  mortenmacfly

svn4511:  * added bash lexer (syntax highlighting for unix shell
	  scripts)

2007-10-04 21:26  byo

svn4510:  wxSmith: Added Go-To-Header / Go-To-Source into popup
	  menu available when ight-clicking on main node of resource in
	  resource editor

2007-10-04 17:22  byo

svn4509:  wxSmith: Updated wxSmithContribItems to use new wxSmith
	  framework

2007-10-04 17:08  biplab

svn4508:  * Fixed: Plugin wizard generated code contains
	  non-conforming characters in plugin name.

2007-10-04 07:22  mortenmacfly

svn4507:  - added debug message about detected GCC include
	  directories (please leave this for hunting a bug with wx285+!)
	  * cb_share_config: added support for file groups (and masks)

2007-10-03 19:55  byo

svn4506:  wxSmith: Forgot to add one file

2007-10-03 18:18  biplab

svn4505:  * Fixed: Project specific "Custom variables" are not
	  expanded for OpenWatcom linker.
	  * Added: Code to map more linker options to wlink.exe options.

2007-10-02 21:52  byo

svn4504:  wxSmith: Big update to code generation process (note
	  that although new code has been tested, it may still have few
	  bugs since the change affected large areas of wxSmith)
	  * Generating code is now done using coder context object which
	  keeps chunks of code currently crated and some other stuff
	  required while code generation
	  * Improved generation of header files - including PCH filter
	  support and forward declarations (not yet fully enabled but works
	  perfectly while testing)
	  * Headers are now generated more precisely - f.ex. when there's
	  no bitmap used while generating resource, it won't be included
	  anywhere
	  * Items using custom fonts with more than one face name share now
	  one font enumerator and one array of faces
	  * Fixed some problems with events (in most cases it was bugged
	  list of item's events)

2007-09-29 18:53  biplab

svn4503:  * Applied: [Patch #2191] Patch for Bug #11979 (in
	  modified form)

2007-09-29 18:23  biplab

svn4502:  * Fixed: File association detection code not detecting
	  the files associated.

2007-09-29 16:34  biplab

svn4501:  * Applied: Non-Pch build fix. (Thanks Tim S.)

2007-09-28 18:33  biplab

svn4500:  * Fixed: Wrong pop-up menu in Project Tree.

2007-09-28 14:46  thomasdenk

svn4499:  - removed deleted file from makefile

2007-09-28 14:03  thomasdenk

svn4498:  - added nullptr to project files

2007-09-28 12:28  thomasdenk

svn4497:  - deleted unused source/header
	  - replaced 50kB license text in SDK headers with web link

2007-09-28 11:27  thomasdenk

svn4496:  - removed (provably useless) pointer checks

2007-09-28 10:16  thomasdenk

svn4495:  - now, finally

2007-09-28 10:06  thomasdenk

svn4494:  - fix previous revision

2007-09-28 09:54  thomasdenk

svn4493:  - nullptr

2007-09-28 09:30  killerbot

svn4492:  - GetStringFromArray : put back trailing space

2007-09-25 06:04  biplab

svn4491:  * Fixed: A typo in Automake file.

2007-09-25 05:59  biplab

svn4490:  * Updated: Remaining Autotools files missed in last
	  commit.

2007-09-25 05:25  biplab

svn4489:  * Added: Atmel AVR project wizard (Thanks to
	  BrianSidebotham).

2007-09-24 18:15  byo

svn4488:  wxSmith: Fixed some issues with wxNotebook (and
	  probably other ..book classes)

2007-09-24 17:39  killerbot

svn4487:  - include fixes

2007-09-22 14:47  biplab

svn4486:  * Updated: Windows only compilers to the latest changes
	  in Compiler sdk api.

2007-09-22 12:13  mandrav

svn4485:  * Support for adding custom files to the build system

2007-09-20 11:48  thomasdenk

svn4484:  - Workaround for wxWidgets' event handling quirks
	  - wxFNB and wxAUINotebook selectable via compile time switch
	  - Removed close button that doesn't belong there
	  - Added documentary comments

2007-09-19 19:20  biplab

svn4483:  * Fixed: Source/Project file open command is sent Twice
	  while opening it through DDE.
	  * Fixed: [Bug #12014] CodeCompletion doesn't start on
	  Code::Blocks startup

2007-09-19 19:08  thomasdenk

svn4482:  - created directories

2007-09-19 18:06  killerbot

svn4481:  - help plugin : remove warning

2007-09-19 17:47  killerbot

svn4480:  - pch fix

2007-09-19 16:49  ceniza

svn4479:  - ClassBrowserBuilderThread is now a joinable thread.
	  ClassBrowser will now Wait for it to exit before invalidating
	  "shared" data.

2007-09-18 19:59  byo

svn4478:  wxSmith: Fixed issues with wxArtProvider

2007-09-18 18:41  biplab

svn4477:  * Applied: [Patch #2135] MinGW autodetection searching
	  in $PATH

2007-09-18 18:29  biplab

svn4476:  * Reverted: Code committed to CC in rev 4473. (This
	  seems to be the cause of recent Crash-At-Close bug).

2007-09-17 00:38  ceniza

svn4475:  - Fixed another small memory leak found by Valgrind.

2007-09-16 21:27  ceniza

svn4474:  Help plugin:
	  * Bugfix: man pages with accentuated characters aren't displayed
	  in Windows (let's hope it still works in Linux).
	  * Bugfix: following a link when the man pages aren't compressed
	  causes a "Man page not found".
	  * Bugfix: following .so links doesn't work in Windows.

2007-09-16 19:38  ceniza

svn4473:  - Fixed a few problems found by Valgrind.

2007-09-16 09:18  biplab

svn4472:  * Fixed: A bug in SetVersionString() which could not
	  detect the version string of MinGW compilers installed in an
	  arbitrary folder.

2007-09-15 14:46  ceniza

svn4471:  Help plugin:
	  * If more than one match is found, let the user choose (tip: use
	  file wildcards).
	  * Bugfix: bz2 decompression adds garbage at the end of the file
	  (fixed in DevPak plugin too).
	  * Try to follow .so links in man pages to other man pages.
	  - Fix: "Thanks to" used \n instead of real newline.

2007-09-14 19:45  ceniza

svn4470:  Help plugin:
	  * Bugfix: editing help entries add extra "Man Pages Viewer" to
	  the View menu.
	  * Implemented zoom in and zoom out for the Man Pages Viewer.
	  - Added KDE dev team to the "thanks to" section.

2007-09-13 21:30  byo

svn4469:  wxSmith: Added customized popup menu for wxNotebook
	  (other x-book classes soon, after wxNotebook is finished)

2007-09-12 21:36  byo

svn4468:  wxSmith: Added "Bring to front" / "Send to back" popup
	  menu for items which are not managed inside sizers - available in
	  right-click popup menu in editor (this can be used to set correct
	  tab order)

2007-09-12 21:00  killerbot

svn4467:  - fix typo

2007-09-12 13:41  biplab

svn4466:  * Fixed: Project created from a user-template contains
	  old output file name.
	  * Fixed: C::B title not updated after creating a project from
	  user-template.

2007-09-12 13:29  ceniza

svn4465:  Help plugin:
	  * Fixed implementation of QListIterator<T> (the output should be
	  right now)
	  * Do not show the man page viewer by default

2007-09-12 06:00  ceniza

svn4464:  Help plugin:
	  * Bugfix: displaying man pages with tables (e.g. find.1) crashes
	  the application (must check if it's generating the right output)
	  - Added -fPIC to bzip2 and zlib targets in the unix project file

2007-09-12 00:59  ceniza

svn4463:  Help plugin:
	  * Converted the MANFrame into a dockable thingy.
	  * If a man page cannot be found then report it.
	  * Clicking on links for man pages that provide them will take you
	  to that man page (if found).

2007-09-11 22:01  byo

svn4462:  wxSmith:
	  * Changed default scopes of ids (now protected) / members (now
	  public) / handlers (now private) in new resources
	  * Scopes can be customized in Advanced options while generating
	  new resource
	  * Added cols / rows for wxGrid when editing in source mode (Grom:
	  you owe me a beer ;) )

2007-09-11 17:49  biplab

svn4461:  * Fixed: Wrong output size in MB.

2007-09-11 11:40  mandrav

svn4460:  * Fixed help plugin's project file for linux. Man pages
	  now work when not using autotools.

2007-09-11 11:39  mandrav

svn4459:  * Fixed a couple more oversized dialogs.

2007-09-11 08:45  mandrav

svn4458:  * Added event cbEVT_QUERY_VIEW_LAYOUT (queries the
	  current view layout).
	  * Removed debugger options for automatic layout switching. It is
	  all handled automatically now.

2007-09-11 08:13  mandrav

svn4457:  * Fixed crash in wxSmith when closing any open XRC file
	  (byo, can you check and verify?)

2007-09-11 08:01  mandrav

svn4456:  * Fixed crash when closing the editor settings dialog
	  by pressing OK (introduced yesterday).

2007-09-10 21:05  daniel2000

svn4455:  - missing backslash broke make dist

2007-09-10 13:45  mandrav

svn4454:  * Display size of generated file after linking.

2007-09-10 12:03  mandrav

svn4453:  * Fixed some UI layout errors in project and editor
	  options. Say 'no' to huge dialogs :).

2007-09-10 09:43  mandrav

svn4452:  * cbEVT_APP_STARTUP_DONE is now fired *after* the app
	  has loaded and fully displayed its windows.

2007-09-10 09:41  mandrav

svn4451:  * Added configuration options for which lexer keyword
	  sets to be included in code-completion results.

2007-09-10 07:15  mariocupelli

svn4450:  add styles for formating documentation

2007-09-10 07:14  mariocupelli

svn4449:  add makefile for generating documentation

2007-09-10 07:12  mariocupelli

svn4448:  add configuration files for html and docbook

2007-09-09 19:55  ceniza

svn4447:  Help plugin:
	  - Fixed project file settings for unix like systems.

2007-09-08 22:27  ceniza

svn4446:  - Applied patch: help plugin doesn't compile without
	  precompiled headers and added includes to Windows cbp (Thanks
	  Tim).

2007-09-08 14:26  thomasdenk

svn4445:  - created directories

2007-09-08 13:30  biplab

svn4444:  * Updated: Update script for Linux/Unix to exclude
	  .svn, Makefile and other garbage files.

2007-09-08 09:40  ceniza

svn4443:  Help plugin:
	  * Converted the basic frame to display man pages into a simple
	  man pages viewer.

2007-09-07 22:50  byo

svn4442:  wxSmith:
	  * Added pop-up menu on right click on resource in resource
	  browser
	  * Implemented deleting resources (accessible through pop-up menu)
	  * Fixed typo

2007-09-07 22:19  ceniza

svn4441:  - Applied patch #2167: use system zlib and bzip2 for
	  help_plugin (Thanks SharkCZ)

2007-09-07 20:11  ceniza

svn4440:  - Patch to get windows build without precompiled
	  headers (Thanks Tim).

2007-09-07 14:08  mariocupelli

svn4439:  add sources of codeblocks documentation

2007-09-07 14:06  mariocupelli

svn4438:  add first part of codeblocks docu

2007-09-07 10:44  killerbot

svn4437:  - remove warning

2007-09-07 10:07  killerbot

svn4436:  - update project files and Makefile.am for the new
	  supported compilers

2007-09-07 09:25  biplab

svn4435:  * Fixed: Project file is removed while Rebuilding /
	  Cleaning a Dynamic Library Project.

2007-09-07 09:00  ceniza

svn4434:  Help plugin:
	  - Updated Linux project file
	  - Updated configure.in

2007-09-07 08:51  ceniza

svn4433:  Help plugin:
	  * Added support to display man pages.

2007-09-07 08:01  mariocupelli

svn4432:  add support for TriCore, MSP430 and PowerPC

2007-09-07 08:00  mariocupelli

svn4431:  add support for TriCore, MSP430 and PowerPC

2007-09-06 21:59  byo

svn4430:  wxSmith: Implemented jumping to event handler function
	  body when changing handler / double clicking on item that has
	  main handler set

2007-09-06 17:36  biplab

svn4429:  * Fixed: [Bug #11929] Crash when moving a virtual
	  folder to its subfolder

2007-09-06 08:15  thomasdenk

svn4428:  - Add workspace extension on "save as" if none given

2007-09-05 20:56  byo

svn4427:  wxSmith: Double click on some item now adds event
	  handler (if there's none) of it's first event

2007-09-05 18:41  biplab

svn4426:  * Fixed: [Bug #11785] Tooltip/Function info window does
	  not go away

2007-09-04 18:41  byo

svn4425:  wxSmith: Implemented sorting of properties

2007-09-04 18:03  biplab

svn4424:  * Object files of source files on different drive will
	  now be created inside the Project object directory itself.
	  * Replaced the fix committed in rev 4422 with a simpler and
	  better one.

2007-09-04 13:49  mortenmacfly

svn4423:  - debugger: fixed unable to detect cygwin prefix under
	  HKCU (instead of only HKLM)

2007-09-04 10:47  biplab

svn4422:  * Fixed: Crash in ProjectFileOptions dialog, when the
	  project uses an unavailable compiler.

2007-09-02 18:28  biplab

svn4421:  * Fixed: [Bug #11698] Silent close on multiple instance

2007-09-01 07:53  mandrav

svn4420:  * Code-completion now provides support for language
	  keywords, based on the current editor's language (only C++ was
	  supported until now).
	  * Fixed wrong layout for editor abbreviations causing the
	  Add/Delete keys to be invisible.

2007-09-01 00:52  ceniza

svn4419:  Debugger plugin:
	  * Set max value for "Ignore count before break".

2007-08-31 14:14  biplab

svn4418:  * Fixed: Crash at Start-up if clicked on the Splash
	  Screen.

2007-08-31 10:51  killerbot

svn4417:  * assembler files support (*.asm)

2007-08-31 05:54  mortenmacfly

svn4416:  * added support for assembler sources (*.s;*.ss)
	  * exposed assembler sources to scripts

2007-08-30 17:42  ceniza

svn4415:  AStyle plugin:
	  * Fixed bug 011860: Mouse cursor changes.

2007-08-29 21:33  byo

svn4414:  wxSmith: Added include file properties for custom
	  widget (only when using source mode)

2007-08-27 19:30  killerbot

svn4413:  - remove warnings

2007-08-27 19:28  killerbot

svn4412:  - add GetCompilerVersionString() to the CompilerFactory
	  and export it for the scripts

2007-08-27 17:05  thomasdenk

svn4411:  - Revert again

2007-08-25 15:08  mortenmacfly

svn4410:  * improved Java support any further
	  * added "set default" for file groups and masks
	  * exposed Java binding to scripts
	  * minor fixed for Fortran 77/90/95 support
	  - improved SDK documentation and documentation of C::B resources

2007-08-25 10:38  byo

svn4409:  wxSmith: Fixed size of new-resource dialog with hidden
	  advanced options on linux

2007-08-25 09:45  byo

svn4408:  wxSmith:
	  * Added some stubs to support read-only files
	  * Fixed crash when adding new resource on linux

2007-08-23 16:58  thomasdenk

svn4407:  * Revert revert

2007-08-23 12:24  afb

svn4406:  - include missing <string> header (source_exporter)

2007-08-22 09:19  thomasdenk

svn4405:  * Made .java files recognized as compileabe sources (no
	  Java support!)

2007-08-22 07:18  mandrav

svn4404:  * Backtick'd expressions cache is not cleared anymore
	  (severe performance hit if many of them are used). Restarting
	  C::B is the only way to clear it.
	  * Added debugger option not to auto-build before launching.
	  * Fixed crash in compiler plugin under certain circumstances
	  (when selecting another target in the combo box).

2007-08-20 14:59  mortenmacfly

svn4403:  - all wizards: Do not put output file name in root
	  folder of drive if no path provided

2007-08-17 20:13  killerbot

svn4402:  - include fix

2007-08-17 15:46  byo

svn4401:  wxSmith:
	  * Update to base properties (cleaned some things up and added
	  ability to fetch position / size of resource from constructor's
	  argument)
	  * Updated new resource generator - it now gives much more options

2007-08-17 07:36  killerbot

svn4400:  - include fixes (this concludes patch 2139)

2007-08-16 14:43  thomasdenk

svn4399:  - re-added r4272, but without overload operators
	  (should not cause ambiguity now)

2007-08-16 09:47  mortenmacfly

svn4398:  - fixed all SDK documentation errors
	  - fixed Exporter not compiling with GCC 3.4.5 (thatnks stahta01)

2007-08-15 22:31  byo

svn4397:  wxSmith: Fixed bug #10964

2007-08-15 17:39  mortenmacfly

svn4396:  - restored wxsmith bindings -> again: Thomas' fault.
	  ;-)

2007-08-15 14:54  thomasdenk

svn4395:  - InfoPane can now properly show/hide panels, delete
	  Loggers and non-Loggers
	  - Moved GUI-Initialisation out of CreateIDE, and after plugin
	  loading, so plugins can add loggers at startup

2007-08-15 13:47  killerbot

svn4394:  * remove warnings from Exporter plugin (wxPdfDocument
	  part)

2007-08-14 22:03  killerbot

svn4393:  - follow up

2007-08-14 21:57  killerbot

svn4392:  - include fixes

2007-08-14 21:56  killerbot

svn4391:  - include fixes

2007-08-14 21:39  killerbot

svn4390:  - follow up

2007-08-14 18:52  ceniza

svn4389:  Source Exporter:
	  * PDF exporter: fixed crash when the file to be exported had
	  non-ASCII characters.
	  - Updated wxPdfDocument to version 0.8.0

2007-08-14 16:59  biplab

svn4388:  * Fixed: Broken build on Linux.

2007-08-14 16:55  thomasdenk

svn4387:  - Removed precompiled header include

2007-08-14 16:12  byo

svn4386:  wxSmith:
	  * Added missing GPL header in newly added files
	  * DELETE key now deletes current selection in editor

2007-08-14 13:43  killerbot

svn4385:  - remove warning

2007-08-14 13:10  killerbot

svn4384:  - some first fixes to rev 4382

2007-08-14 13:00  killerbot

svn4383:  - follow up

2007-08-14 12:16  thomasdenk

svn4382:  - Replaced "Log" with "Logger" where it should be
	  - Did some tuning on the existing loggers
	  - Added startup code to turn off app logging
	  - etc.

2007-08-14 12:02  killerbot

svn4381:  - follow up

2007-08-14 11:56  killerbot

svn4380:  - follow up

2007-08-14 11:49  killerbot

svn4379:  - follow up

2007-08-14 11:46  killerbot

svn4378:  - follow up

2007-08-14 09:58  killerbot

svn4377:  - little follow up

2007-08-14 09:26  killerbot

svn4376:  - little follow up

2007-08-14 09:17  killerbot

svn4375:  - further include fixes and separation between
	  Manager::GetAppWindow() and Manager::GetAppFrame(), SDK bump to
	  1.11.12 (could be a few follow up include fixes might follow)

2007-08-14 08:23  biplab

svn4374:  * Updated previous commit.

2007-08-14 08:06  killerbot

svn4373:  - some fist part of include fixes

2007-08-13 20:50  byo

svn4372:  wxSmith: Fixes for few segfaults discovered on linux

2007-08-13 18:14  byo

svn4371:  wxSmith:
	  * Added border for wxPanel items added into other resources
	  * Fixed background colour for wxFrame
	  * Preview for wxPanel resources are now using resizable dialog

2007-08-13 16:09  mortenmacfly

svn4370:  - envvars plugin: minor modifications to take home

2007-08-13 15:53  byo

svn4369:  wxSmith: Implemented grid for sizer-less resources

2007-08-13 07:44  biplab

svn4368:  * Fixed: C::B can't detect a file with UTF-32LE (with
	  BOM) encoding.

2007-08-12 21:13  pecan

svn4367:  KeyBinder 1.0.42 2007/08/12
	  -Change AddPendingEvent() to ProcessEvent() in wxMenuCmd::Exec()
	  to avoid crashes when secondary command key would close editors
	  wx284

2007-08-12 19:08  killerbot

svn4366:  - autorevision : const correctness and use TiXml
	  handles in QuerySvn

2007-08-10 14:26  killerbot

svn4365:  - fix to previous commit : autorevision.cpp does not
	  belong to that

2007-08-10 14:16  killerbot

svn4364:  * wxFNB : fixed: popup dialog not focused on linux
	  (ctrl+tab & smart tabbing)

2007-08-10 11:18  byo

svn4363:  wxSmith:
	  * Added insert-by-pointing-with-mouse mode
	  * Code generated when adding sizers now contains spaces to be
	  more readable

2007-08-08 19:41  killerbot

svn4362:  - console-main.c.cpp : no need to include stdlib.h

2007-08-08 12:16  afb

svn4361:  - codesnippets uses GDK functions directly on wGTK, so
	  it needs to link with the GTK2_LIBS too

2007-08-08 10:30  biplab

svn4360:  * make dist fix.

2007-08-08 08:49  byo

svn4359:  wxSmith: Icon property is now used inside generated
	  code and preview

2007-08-08 07:36  biplab

svn4358:  * Fixed: Out-of-place build fix for Todo plugin.

2007-08-07 09:46  biplab

svn4357:  * Fixed: Couple of assertion failures associated with
	  wxDir.
	  * Some clean up in Intel compiler detection code.

2007-08-07 09:25  thomasdenk

svn4356:  - added IsOpened() check to locale loading

2007-08-06 19:40  afb

svn4355:  * add Mach IPC typedefs for Darwin

2007-08-06 17:48  biplab

svn4354:  * Cleaned up last commit by reverting one unnecessary
	  change.

2007-08-06 17:19  biplab

svn4353:  * Fixed: [Bug #11720] Standard Header Highlighting
	  problem
	  * Fixed: Highlight mode was not applied when highlight mode is
	  changed from a mode to 'Plain text'.

2007-08-06 14:08  mortenmacfly

svn4352:  - ennvars plugin: (hopefully) fixed choicebox GUI bug
	  under Linux

2007-08-06 12:36  mandrav

svn4351:  * Added cbProject::GetExtensionsNode() and
	  cbProject::AddToExtensions(). The latter is exposed to scripts.
	  Read the function docs on how to use it.

2007-08-06 11:04  mandrav

svn4350:  * Added --safe-mode command-line option (all plugins
	  are disabled on startup).
	  * Fixed out-of-place builds with autotools (bug #11607).

2007-08-05 14:35  biplab

svn4349:  * Added: Code-statistics for *.cc, *.cxx files in
	  project file options dialog.

2007-08-05 09:37  biplab

svn4348:  * Fixed: MSVC Project import bug where '-g' was getting
	  added to Release target.

2007-08-04 19:14  biplab

svn4347:  * Now *.cc files would be recognized as C++ files.

2007-08-03 11:45  biplab

svn4346:  * One code-cleanup in DLL wizard generated source.

2007-08-02 21:02  killerbot

svn4345:  * clean up the autorevision stuff, less dependencies

2007-08-02 20:44  pecan

svn4344:  CodeSnippets 1.2.92 2007/08/2
	  - Added check for possible garbage window ptr in
	  OnTreeCtrlEvent() and other assorted wxGTK284 fixes
	  - Fixed TextToFilenames() for list/text containing \r & \n

2007-08-02 12:38  biplab

svn4343:  * Fixed: [Bug #11585] Extra file relative paths are
	  wrong in exported plugin

2007-08-02 04:00  biplab

svn4342:  * Fixed: Extra paths are not processed in some cases.
	  * Minor cleanup in DebuggerGDB plugin.

2007-08-01 18:16  killerbot

svn4341:  - restructured update.bat a little bit and minor fix

2007-08-01 18:15  killerbot

svn4340:  * wxFNB : fixed overflow of tabs too early and applied
	  patch to allow backward compatibility with 2.6.x

2007-08-01 09:15  thomasdenk

svn4339:  * Fixed $TARGET_OUTPUT_DIR
	  - Corrected description of CompileTargetBase::GetBasePath()

2007-07-30 21:50  pecan

svn4338:  KeyBinder 1.0.41
	  - check for numeric menu items that start with "_" wxGTK 2.8.4
	  - Fixed disappearing/reappearing command keys on wxGTK 2.8.4 by
	  trimming all menu items and conf strings before comparing.

2007-07-30 10:27  mandrav

svn4337:  - Bug fix in tokenizer wrt << operator.

2007-07-30 07:29  biplab

svn4336:  Fixed: [Bug #11656] (Completely!)

2007-07-29 10:59  biplab

svn4335:  * Fixed: [Bug #11600] compiler plugin works only with
	  admin rights

2007-07-29 07:08  biplab

svn4334:  * Fixed: [Bug #11656] windows plugin wizard defaults to
	  linking to wxmsw26u

2007-07-28 14:35  mandrav

svn4333:  * The <Extensions> node (in .cbp files) is now
	  preserved through project saves, even if the plugins using it are
	  disabled/uninstalled. All relevant code in our repository has
	  been updated.

2007-07-28 11:34  mandrav

svn4332:  * Added SDK events for build targets:
	  - cbEVT_BUILDTARGET_ADDED
	  - cbEVT_BUILDTARGET_REMOVED
	  - cbEVT_BUILDTARGET_RENAMED
	  - cbEVT_BUILDTARGET_SELECTED
	  * Added Get/SetBuildTargetName() and Get/SetOldBuildTargetName()
	  in CodeBlocksEvent, for the new events (the latter two functions
	  only apply for cbEVT_BUILDTARGET_RENAMED and
	  cbEVT_BUILDTARGET_SELECTED events).
	  * Debugger no longer tries to launch 2 times if the first try
	  failed.

2007-07-27 11:49  biplab

svn4331:  * Fixed: Clicking "Properties" option from Notebook
	  menu doesn't show proper file properties if it's part of a
	  project.

2007-07-27 08:50  mandrav

svn4330:  * Fixed code-completion not launching for empty search
	  strings (bug #11630).
	  * Fixed code-completion handling of preprocessor directives in
	  class definitions (bug #11626).

2007-07-27 08:05  mandrav

svn4329:  * Starting the debugger should work correctly again in
	  all cases.
	  * Per-target extra debugger commands are now sent after
	  connection to remote target (if any).

2007-07-26 21:33  pecan

svn4328:  CodeSnippets 1.2.90 2007/07/26
	  - Don't save snippets xml when no changes made in order to avoid
	  file time change and annoying reload msg in secondary CB.

2007-07-26 17:59  biplab

svn4327:  * Removed: event.skip() from OnNavigationKey (as
	  suggested by Eranif)

2007-07-26 16:56  ceniza

svn4326:  Compiler plugin:
	  * If getting the timestamp for a file fails check its existence
	  to decide if it should be built or just ignored.

2007-07-26 15:47  biplab

svn4325:  * Stopped Update.bat from copying Makefile.am to few
	  directories.

2007-07-26 14:38  ceniza

svn4324:  AStyle plugin:
	  * Updated to AStyle 1.21, applying changes provided by Jim
	  Pattee.

2007-07-26 14:36  killerbot

svn4323:  * applied [ Patch #2105 ] Fix ugly 22x22
	  searchreplace.png

2007-07-26 09:26  mandrav

svn4322:  * Fixed "debugger won't start" bug introduced
	  yesterday.
	  * Event cbEVT_COMPILER_STARTED is now emitted.

2007-07-25 15:22  mortenmacfly

svn4321:  - again: restored wxSmith bindings in C::B project file
	  (see r4298)

2007-07-25 13:51  killerbot

svn4320:  - follow/clean up on wxFNB

2007-07-25 13:30  thomasdenk

svn4319:  - Fixed pch warning
	  - Added documentary comments

2007-07-25 13:01  thomasdenk

svn4318:  - Fixed Windows compile errors for new IPC class, and
	  added to project (still not used)

2007-07-25 12:53  thomasdenk

svn4317:  - fixed Lock() semantics under Windows

2007-07-25 12:45  killerbot

svn4316:  * switched to latest svn wxFlatNotebook ( > 2.2) , with
	  3 changes from our side, the update brings : a) unlimited stack
	  of recently visited tabs and b) when closing a tab, the selected
	  tab is the previously selected one

2007-07-25 12:34  mandrav

svn4315:  * Fixed new IPC code for linux and added to build (not
	  used yet though).

2007-07-25 12:26  thomasdenk

svn4314:  - restored 2 semop()s that got lost

2007-07-25 12:04  mandrav

svn4313:  * Update -mmcu options for GNUAVR (patch #2071).

2007-07-25 11:49  killerbot

svn4312:  * switched to wxFlatNotebook 2.2 + 1 little change

2007-07-25 11:46  thomasdenk

svn4311:  - Checkin IPC sources (WIP)

2007-07-25 11:45  mandrav

svn4310:  * Incremental search dialog now supports the * and ?
	  wildcards (patch #2046).

2007-07-25 11:41  mandrav

svn4309:  * Clean-up in remote debugging code.
	  * Added baud setting for remote debugging through the serial
	  port.
	  * Fixed deadlock while debugger was waiting for compiler to
	  finish (at least in linux).

2007-07-25 04:50  biplab

svn4308:  * Include fix for non-pch build. (Thanks Tim S.)

2007-07-24 15:10  ceniza

svn4307:  Source Exporter:
	  * Tabs are now converted to spaces using the current editor
	  settings (tab size in spaces) in the PDF exporter.

2007-07-24 14:05  mandrav

svn4306:  - Adjusted Makefile.am

2007-07-24 13:49  killerbot

svn4305:  - include fix

2007-07-24 13:39  mandrav

svn4304:  * Added preliminary support for remote debugging with
	  gdb (only). Check the "Debugger" page in project's properties...

2007-07-24 07:10  thomasdenk

svn4303:  - Rewrote a loop for clarity and fixed a typo

2007-07-24 03:54  biplab

svn4302:  * Fixed: Broken GCC compiler support on Windows due to
	  rev 4296.

2007-07-23 20:26  mortenmacfly

svn4301:  * cb_share_config: added support for editor colour_sets

2007-07-23 15:57  killerbot

svn4300:  - fix as suggested by eranif

2007-07-23 14:33  biplab

svn4299:  * Fixed: Compilation issues with wx-2.6 (introduced in
	  rev 4296)

2007-07-23 11:51  mortenmacfly

svn4298:  - restored wxSmith bindings in C::B project file
	  (Thomas: please enable wxSmith when updating project files)

2007-07-23 11:49  biplab

svn4297:  * Updated: Automake files and C::B-unix project file to
	  build new logmanager.

2007-07-23 11:03  biplab

svn4296:  * On Windows the search in PATH environment variable,
	  in CompilerGCC::SetEnvironmentForCompiler(), would now be
	  case-insensitive. This would help avoid adding duplicate entries
	  in PATH.

2007-07-23 10:49  thomasdenk

svn4295:  - LogManager checkin

2007-07-23 09:15  mortenmacfly

svn4294:  - landed in 2007 ;-)

2007-07-23 04:08  biplab

svn4293:  * Fixed: Compilation error (related to
	  wxCharBuffer::==) in certain build configurations.

2007-07-23 03:17  ceniza

svn4292:  Source Exporter:
	  * bugfix: Wrong output of tabs in ODT.
	  ~ Must find a workaround for tabs in PDF (the output looks like a
	  single space).

2007-07-22 12:31  biplab

svn4291:  * Fixed: Run-time error message in
	  CompilerMINGW::SetVersionString() when gcc binary is not found.

2007-07-22 11:30  biplab

svn4290:  * Applied: [Patch #2015] To create a lib using lib.exe
	  in DMD tools??

2007-07-22 10:03  biplab

svn4289:  * Fixed: Couple of issues with DMD compiler.

2007-07-22 04:19  pecan

svn4288:  CodeSnippets 1.2.89 2007/07/21
	  - fixed missing window when switching layouts
	  - auto show window when switching between window types

2007-07-21 20:00  biplab

svn4287:  * Applied: [Patch #2067] 'Highlight mode' reflects
	  current syntax highlighting

2007-07-21 18:35  biplab

svn4286:  * Applied:
	  - [Patch #2066] updates to python lexer
	  - [Patch #2091] Documention only fix on file
	  propgrid/CHANGES-propgrid.txt

2007-07-21 09:00  killerbot

svn4285:  - NULL should be 0, standard C++

2007-07-21 07:24  biplab

svn4284:  * Fixed: [Bug #11599] Saving a file crashes in a
	  special case

2007-07-20 17:34  biplab

svn4283:  * Updated: Regex in CompilerMINGW::SetVersionString()
	  to a foolproof one.

2007-07-19 18:26  mandrav

svn4282:  * Fixed minor issues with CC tooltips.

2007-07-19 11:45  biplab

svn4281:  * Fixed: Crash while compiling a single file with
	  OpenWatcom compiler.

2007-07-19 08:34  thomasdenk

svn4280:  - Sorted items in "search internet" popup correctly
	  (nobody ever noticed?)

2007-07-19 01:24  rickg22

svn4279:  * Fixed bug #11574 (comment code doesn't work on PHP
	  files)

2007-07-18 14:17  rickg22

svn4278:  * Applied patch #2040 to fix Home key bug (#11234)

2007-07-18 09:54  mandrav

svn4277:  * Bug-fix in CC tokenizer.
	  * When hovering the mouse over a word in the editor, a tooltip is
	  displayed describing the token under the mouse (relevant option
	  added in CC settings so it can be disabled/enabled at will).

2007-07-18 07:47  biplab

svn4276:  * Fixed: Layout issues in DataBreakpointDlg.

2007-07-17 18:32  thomasdenk

svn4275:  - revert

2007-07-17 16:47  biplab

svn4274:  * Updated: DLL and Win32 GUI wizard to latest changes
	  in OpenWatcom compiler support.

2007-07-17 13:56  mandrav

svn4273:  - Renamed -devel debian packages to -dev
	  - Bug-fix in CompilerMINGW::SetVersionString.

2007-07-17 13:44  thomasdenk

svn4272:  - Added a bit of type safety to the GetID() function

2007-07-17 12:20  biplab

svn4269:  * Some code-cleanup and improvements in OpenWatcom
	  option generation code.
	  * Added experimental support of creating Linux executables on
	  Windows using OpenWatcom. Please note that an additional
	  **Library directory** has to be added manually.

2007-07-16 17:36  killerbot

svn4268:  - wxSmith : increase readability of generated code

2007-07-16 14:07  mandrav

svn4267:  * Debian dir updated to create the following packages:
	  - libcodeblocks0 (codeblocks shared lib)
	  - codeblocks (main app and core plugins)
	  - codeblocks-contrib (contrib plugins)
	  - codeblocks-dbg (debugging symbols)
	  - codeblocks-devel (SDK headers)
	  - libwxsmithlib0 (wxSmith shared lib)
	  - libwxsmithlib0-devel (wxSmith development shared lib)
	  * Added rudimentary man pages for cb_share_config and
	  codesnippets.
	  * Resource zip-files are now automatically generated when their
	  dependencies change (no more need for "make clean-zipfiles").
	  * update-revision.sh now updates debian/changelog too so
	  generated packages will contain correct version info.

2007-07-15 12:31  biplab

svn4266:  * Added the following SDK functions. Use them for
	  compiler version detection.
	  - Compiler::SetVersionString()
	  - Compiler::GetVersionString()
	  * Now deprecated compiler option, '-I-', wouldn't be used for GCC
	  4.x series. Fixed(Partially): [Bug #10624] gcc:
	  faulty/deprecated/ default options

2007-07-15 02:15  rickg22

svn4265:  * Code completion: Fixed 2-second freeze every time a
	  file was saved (winxp)

2007-07-14 21:12  pecan

svn4264:  CodeSnippets 1.2.87 2007/07/14
	  - invoke properties dialog on "new snippet"
	  - do not copy removed snippet to .trash when shift key down

2007-07-14 07:14  biplab

svn4263:  * Fixed: Broken build on Linux due to last commit.

2007-07-14 05:00  biplab

svn4262:  * Fixed: [Bug #11548] "Recent projects" tries to open
	  already open project

2007-07-11 09:41  mandrav

svn4261:  * Backticked expressions in build options are now
	  parsed for compiler/linker search dirs. Code-completion benefits
	  greatly from this (locating files to parse, opening #include
	  files, etc).
	  - The CC DebugInfo dialog now displays the parser's search dirs
	  too.

2007-07-11 08:23  mandrav

svn4260:  * CC parser now supports "typedef enum {...} id"
	  constructs.

2007-07-11 06:40  killerbot

svn4259:  - include fixes

2007-07-11 05:44  rickg22

svn4258:  * Code completion: Fixed [bug #11460] "Switching to
	  current file's symbols delays class browser for ~ 4 secs"

2007-07-10 19:15  mortenmacfly

svn4257:  * cb_share_config: implemented "uncheck all"; made dlg
	  resizable

2007-07-10 15:40  mortenmacfly

svn4256:  - projectsimporter compiles with wx26 again
	  - re-formatted awfully looking projectsimporter source code files

2007-07-10 14:37  mortenmacfly

svn4255:  * fixed crash when opening build options (introduced in
	  4247)

2007-07-10 14:24  killerbot

svn4254:  - further commits for the projectsimporter
	  restructuring

2007-07-10 14:10  mandrav

svn4253:  - more forgotten stuff, ugh

2007-07-10 14:08  rickg22

svn4252:  * Code completion: Fixed [bug #11533] (class browser
	  crash on windows)

2007-07-10 13:37  mandrav

svn4251:  - darn, forgotten stuff

2007-07-10 13:32  mandrav

svn4250:  - moved forgotten file

2007-07-10 12:58  mandrav

svn4249:  - forgotten files

2007-07-10 12:58  mandrav

svn4248:  * New plugin: ProjectsImporter. Moved all code that
	  imports foreign projects/workspaces from the SDK to this new
	  plugin (windows build not up-to-date yet).

2007-07-10 11:46  mortenmacfly

svn4247:  * use more comfortable way to choose extra toolchain
	  path's (this also allows the use of GCV's and improves
	  consitency)

2007-07-10 09:35  mortenmacfly

svn4246:  * envvars plugin: added possibility to clone an envvar
	  set
	  * envvars plugin: GUI enhancement for Linux, code cleanup

2007-07-10 07:50  biplab

svn4245:  * Fixed: Broken build due to commit in rev 4243.

2007-07-09 21:12  mortenmacfly

svn4244:  - very minor code cleanups

2007-07-09 16:37  biplab

svn4243:  * Added: Support for $(PlatformName) macro in MSVC 7+
	  project file import.
	  * Some code cleanup.

2007-07-09 16:02  biplab

svn4242:  * Win32GUI Wizard:
	  - Added support for Dialog based app creation. (Thanks Skirby for
	  the sample code)

2007-07-09 09:22  mortenmacfly

svn4241:  - envvars plugin: added "nicer" image for config icon

2007-07-09 08:45  mortenmacfly

svn4240:  * envvars plugin: fixed "envvars not unset on project
	  close" bug (thanks Yiannis)

2007-07-09 08:32  mandrav

svn4239:  * Fixed crash when using "Close" or "Close all" in open
	  files list context menu (bug #11508).

2007-07-08 08:10  mandrav

svn4238:  * Fixed: plugin installation wouldn't create
	  directories on windows (thanks dje).

2007-07-07 11:33  mandrav

svn4237:  * CC parser now supports "typedef [class|struct] {...}
	  id" constructs.

2007-07-07 11:06  mandrav

svn4236:  - Bug fix in CC tokenizer.

2007-07-06 13:43  biplab

svn4235:  * Replaced 'Tabs' with 'Spaces' from all the wizards.

2007-07-06 13:37  mandrav

svn4234:  * Changed the SDK events handling system (see
	  http://wiki.codeblocks.org/index.php?title=Code::Blocks_SDK_events).
	  - While I was at it, I implemented sending the
	  cbEVT_APP_START_SHUTDOWN event.

2007-07-06 13:27  biplab

svn4233:  * wxWidgets wizard:
	  - Default wxwidgets configurations will now be added at project
	  level.

2007-07-06 06:47  mortenmacfly

svn4230:  - added missing comments

2007-07-05 17:02  biplab

svn4229:  * Implemented: [FR #3524] "Remove all breakpoints"
	  option

2007-07-05 12:53  mortenmacfly

svn4228:  - removed wx/log.h entries no longer required after
	  r4225 (the ones left *are* required)
	  - updated sdk doxygen file to latest doxygen revision
	  * fixed bug under wx26 that path for wizards was calculated wrong
	  (without drive letter)

2007-07-05 11:35  mandrav

svn4227:  * The "Save all" button on the toolbar is now "Save all
	  files" to avoid problems with accidental clicking ("Save all" is
	  an irreversible action).

2007-07-05 11:19  byo

svn4226:  wxSmith: Fixed wx2.6 issues in wxToolbar

2007-07-05 11:19  thomasdenk

svn4225:  - Removed all wxLogNull, disabled log messages globally
	  - Added a null pointer check (probably unneeded)

2007-07-05 11:12  mandrav

svn4224:  * Fixed display of watches for CDB (MSVC executables).

2007-07-05 10:58  mandrav

svn4223:  * Fixed running console-based programs in windows.

2007-07-05 08:23  mandrav

svn4222:  - ToDo plugin now uses the correct image in the
	  settings dialog.

2007-07-04 16:23  biplab

svn4220:  * Removed: Redundant code.

2007-07-04 10:53  mandrav

svn4219:  * Dynamic linker's path is now set correctly again for
	  executing.
	  * Added EscapeSpaces() global function and exposed it to scripts
	  along with a few other functions from globals.h.
	  * Updated debugger plugin to correctly set the dynamic linker's
	  path.

2007-07-04 08:29  biplab

svn4218:  * Reverted: Code changes made in rev 4216. Morten
	  reported some issues.

2007-07-04 08:14  mandrav

svn4217:  * Respect projects modification flag when closing
	  workspace and ask to save modified projects.

2007-07-03 17:15  biplab

svn4216:  * Improved: CommonTopLevelPath calculation routine.
	  * Fixed: Slow Project tree construction. It should be faster now.

2007-07-03 12:17  tiwag

svn4213:  * Open Files List items - read-only file icon added
	  * Find, Find Next/Previous results shown centered on screen

2007-07-03 10:44  mandrav

svn4212:  * Added "File->Save all" which saves everything: files,
	  projects and workspace (patch #2044).

2007-07-03 09:45  mandrav

svn4211:  * Fixed bug with "pause when execution ends" target
	  checkbox (bug #11235).

2007-07-03 09:30  mandrav

svn4210:  * Exposed _() to scripts for translated strings (bug
	  #10297, patch #2020).

2007-07-03 09:26  mandrav

svn4209:  * Display replace-operation summary (bug #11472, patch
	  #2083).

2007-07-03 09:11  mandrav

svn4208:  * Exposed to scripts
	  CompileTargetBase::SetTargetFilenameGenerationPolicy (bug
	  #11019).

2007-07-02 17:29  mandrav

svn4207:  * Fixed crash in symbols browser under certain
	  circumstances (bug #11433).

2007-07-02 13:46  mandrav

svn4205:  * Plugins manifest XML expanded to allow for extra
	  files being installed/exported/uninstalled.

2007-07-02 12:30  mandrav

svn4204:  * Fix in annoying dialog: do not use
	  wxStdDialogButtonSizer if using custom button IDs (they are not
	  accepted and the dialog layout is screwed).

2007-07-02 11:12  mandrav

svn4203:  * Binary .cbplugin files can now contain icons for the
	  "Settings" dialogs. The two supported icon files should be named
	  <plugin_name>.png and <plugin_name>-off.png.
	  * Updated all settings dialogs to locate icons through
	  configmanager.
	  * If a settings icon for a plugin cannot be located, the
	  generic-plugin icon will be used.

2007-07-02 08:07  thomasdenk

svn4202:  - Create locale subfolder at startup, turn off
	  wxWidgets message
	  - Removed unneeded global variables

2007-06-30 21:10  byo

svn4201:  wxSmith: Big update to wxsDrawingWindow class
	  * It uses wxClientDC instead of wxScreenDC (which is not
	  supported on MAC now)
	  * Removed internal "drawing panel" - everything is done on
	  wxsDrawingWindow now (event flow is much better now)
	  * Got rid of all Yields during fetch sequence (may improve
	  stability)
	  * First results on MAC (still there's much to be done but at
	  least there's some starting point)

2007-06-30 20:31  pecan

svn4200:  CodeSnippets 1.2.84 2007/06/30
	  - Get temp directory name from system; don't assume /temp or
	  /tmp.

2007-06-29 22:33  byo

svn4199:  wxSmith: Synced style lists with XRC sources (it may
	  add extra styles for most components but at least it will prevent
	  missing ones)

2007-06-29 18:17  killerbot

svn4198:  - include fixes : apparently types can be used just out
	  of the blue and headers files are not needed anymore, DUH

2007-06-29 15:54  thomasdenk

svn4197:  - Internationalisation works

2007-06-29 01:43  rickg22

svn4196:  * TODO list: Applied patch #2082 to fix bug #10783
	  (ToDo list too big)

2007-06-28 21:58  byo

svn4195:  wxSmithContribItems: Fixed make dist

2007-06-28 20:19  byo

svn4194:  wxSmith: Fixed bug #11274 - Double click item in
	  Resources tree, 2 editor tabs opened

2007-06-28 10:28  byo

svn4193:  Fixed some issues for non-pch project using wxSmith
	  generated by wizard

2007-06-28 09:59  biplab

svn4192:  * Applied: [Patch #2081] Project properties -> line
	  counter fix

2007-06-27 21:17  mortenmacfly

svn4191:  * fixed nasty crash in OW compiler (command generator)

2007-06-27 18:01  pecan

svn4190:  CodeSnippets 1.2.83 2007/06/27
	  - Fixed: Index might not be saved when changing category label

2007-06-27 17:44  pecan

svn4189:  DragScroll 1.1.04 2007/06/27
	  - Reduce minimum Unix Context menu sentry delay
	  - Clean up configuration panel

2007-06-27 04:19  rickg22

svn4188:  *Fixed: Couldn't compile on MSW without PCH (applied
	  patch #1992 - thanks stahta01)

2007-06-26 22:34  byo

svn4187:  wxSmith: Added few missing icons and fixed small bug in
	  property editor (it didn't clear events page after closing
	  editor)

2007-06-26 16:53  biplab

svn4186:  * Fixed: [Bug #11454] wxDatePickerCtrl: wxDataEvent
	  instead of wxDateEvent

2007-06-26 14:15  rickg22

svn4185:  * SDK (ProjectManager): Fixed (yes, for real now)
	  annoying random crash on startup.
	  - SDK (ProjectManager): Renamed EVT_WORKSPACE_LOADED to
	  EVT_WORKSPACE_CHANGED to reflect more clearly its behavior

2007-06-26 13:18  tiwag

svn4184:  * Fixed running targets when filename contains spaces
	  on platform::windows (broken in rev 4183).

2007-06-26 10:32  mandrav

svn4183:  * Fixed running targets when filename contains spaces
	  (broken yesterday).
	  * Added $WORKDIR variable substitution in terminal command line
	  (non-windows platforms only).

2007-06-26 07:53  mandrav

svn4182:  - Fixed PATH setting in windows which was screwed when
	  running a target.

2007-06-26 04:14  rickg22

svn4181:  * SDK: Fixed random crashes on startup by reverting
	  code from rev 4165
	  - Code completion: removed slightly annoying debug message

2007-06-26 00:56  byo

svn4180:  wxSmith: Fixed synchronization issues between editor
	  and resource tree selection

2007-06-25 22:32  byo

svn4179:  wxSmith: Fix bug in splitter between resource tree and
	  property browser - it's now properly centered in case it's
	  position is not stored in configuration

2007-06-25 22:18  byo

svn4178:  wxSmith: Automatically switch to Resources tab when
	  resource is opened

2007-06-25 19:38  killerbot

svn4177:  - non pch fix

2007-06-25 19:27  killerbot

svn4176:  * fixed bug [ Bug #11443 ] Insert all class methods
	  without implementation fails const

2007-06-25 18:52  mandrav

svn4175:  - Replace $PATH with %PATH% for windows in dynamic
	  linker search paths.

2007-06-25 18:27  pecan

svn4174:  CodeSnippets 1.2.82 2007/06/25
	  - Use text, up to first '\r' or '\n' to determine if snippet is
	  file link.
	  This Allows notes to accompany file link.
	  - Added MIME open support using Alt-double-click & "Open File"
	  context menu
	  - Refactored EditSnippet and OpenFileLink
	  - Added "Open Url" support
	  - ReInstated ToolTips for wx284 using first line of snippet

2007-06-25 16:35  biplab

svn4173:  wxWidgets Wizard:
	  * Now Empty project creation and adding pch support options are
	  available separately on Linux.
	  * User selections will now be stored on Linux.

2007-06-25 14:53  tiwag

svn4172:  - CB-Win-projectfile cleanup, removed not existing
	  wxsmith resources references
	  - Codestat plugin, wxTextFile::Open() error bugfix

2007-06-25 14:34  thomasdenk

svn4171:  - Removed Loader again
	  - Replaced 2 #includes with forward declarations

2007-06-25 13:31  mandrav

svn4170:  * The linker search paths are now also used as search
	  paths for the dynamic linker automatically (LD_LIBRARY_PATH for
	  unices, DYLD_LIBRARY_PATH for Mac and PATH for windows).

2007-06-25 11:42  mandrav

svn4169:  * Fixed possibly huge memory leak in code-completion
	  (patch #2075, thanks dmoore).

2007-06-25 03:26  rickg22

svn4168:  * Code Completion: Fixed recent bug that prevented the
	  symbol tree from being updated. Also removed some obsolete code.

2007-06-25 03:16  rickg22

svn4167:  - SDK: Fixed ProjectManager::m_IsClosingProject for the
	  case of closing all projects.
	  - SDK: Updated EVT_WORKSPACE_LOADED to handle closing of
	  projects. Now it gets triggered at the end of ANY workspace
	  modification (loading workspace, opening project, closing,
	  closing all projects, closing workspace). Very useful for
	  plugins.

2007-06-24 20:05  mortenmacfly

svn4166:  * devpak plugin: fixed minor memory leak

2007-06-24 16:13  thomasdenk

svn4165:  - Added Delete() member function to AbstractJob.
	  Ownership of jobs is no longer in user hands.
	  - Added Loader class

2007-06-24 13:08  killerbot

svn4164:  - put the structures for the CC toolbar back in the
	  class, where they belong, no need to polute the global scope with
	  this. They belong to the class for it's implementation and
	  nothing more.

2007-06-23 23:03  rickg22

svn4163:  * CodeCompletion's function toolbar speedup. Now it
	  only parses each file only once.

2007-06-23 18:34  rickg22

svn4162:  - SDK: Added new event type: EVT_WORKSPACE_LOADED. It's
	  triggered when the project or workspace finishes loading.

2007-06-23 15:35  byo

svn4161:  wxSmith:
	  * Added PCH support for new resources
	  * Switched naming of new sources / wxs files to CamelCase
	  * Added <wx/string.h> to list of includes added by default
	  * Small update to wizard-generated files (no need to guard
	  #include "wx_pch.h")

2007-06-23 12:37  byo

svn4160:  wxSmith: Fixed bug which caused project name to be
	  empty in resource tree after creating it with wizard

2007-06-23 09:50  biplab

svn4159:  * Fixed: wxWidgets wizard source generation bug.

2007-06-23 06:26  rickg22

svn4158:  * Reverted the Codecompletion toolbar change due to
	  unexpected bug

2007-06-23 03:08  rickg22

svn4157:  * Revamped CodeCompletion's Function toolbar. It's much
	  faster now!

2007-06-23 03:08  rickg22

svn4156:  * Fixed tiny memory leak in CodeCompletion plugin

2007-06-22 23:15  byo

svn4155:  * Missing includes
	  * Fixed many issues with wxWidgets wizard when project name was
	  not build from alphanumeric characters only
	  * Applied some advises by killerbot
	  (http://forums.codeblocks.org/index.php/topic,6235.msg47719.html#msg47719)
	  related to wxWidgets wizard and wxSmith-generated code

2007-06-22 15:46  byo

svn4154:  wxSmith: Applied fix that will probably prevent some
	  rare crashes when closing editor

2007-06-22 12:26  biplab

svn4153:  * DLL Wizard:
	  - Now wizard will setup post-build commands (for VC 8.0) to embed
	  manifest in a dll.
	  - DLL will now be generated in respective target output
	  directories.

2007-06-22 01:39  rickg22

svn4152:  - Tidied up biplab's fix to [bug #11205]

2007-06-22 01:06  rickg22

svn4151:  * Reduced startup time by only calling the cbEditor
	  hooks after project has finished loading (thanks dmoore).

2007-06-21 23:20  byo

svn4150:  wxSmith: Updated function operating on files to use
	  Encoding / BOM.

2007-06-21 22:12  byo

svn4149:  wxSmith: Massive NULL->0 udpate (in both generated code
	  and wxSmith's code)

2007-06-21 20:50  byo

svn4148:  wxSmith: Updated xml loading routines so they support
	  unicode paths now

2007-06-21 18:55  killerbot

svn4146:  - non pch build fix

2007-06-21 16:31  biplab

svn4145:  * Fixed: Crash while loading a Project/Workspace with a
	  Unicode character in File Name/Path.

2007-06-21 15:08  biplab

svn4144:  * Fixed: DLL and import library name becomes same if
	  Target Output name generation policy is set to User-specified.
	  (Thanks Tim S.)

2007-06-21 09:40  killerbot

svn4132:  - fix linux build

2007-06-21 09:39  killerbot

svn4131:  - fix linux build

2007-06-21 09:29  killerbot

svn4127:  - fix linux build

2007-06-21 09:25  killerbot

svn4126:  - fix linux build

2007-06-21 05:49  rickg22

svn4125:  - SDK: Added static ProjectManager::IsBusy() for
	  plugins development.
	  * TODO list: fixed annoying slowdowns everytime the application
	  was switched (the file parsing still needs to be upgraded to use
	  the new Loader object).

2007-06-20 19:54  killerbot

svn4124:  - cltInfo follow up

2007-06-20 17:36  ceniza

svn4123:  AStyle plugin:
	  - Bugfix: Crash when file to be formatted had lines with more
	  than 2047 characters.

2007-06-20 16:50  killerbot

svn4122:  - really fixed the HUGE memory leaks (no Rick you
	  didn't fix it, but credits to you, since you found it)

2007-06-20 13:53  mandrav

svn4121:  - Added wxSmith files for scripted wizard's embedded
	  panels (i.e. those panels can now be edited again using wxSmith).
	  - Also fixed some wx2.8 layout issues with the wizards.

2007-06-20 13:25  rickg22

svn4120:  * HUGE Memory leak (hundreds of megabytes) fixed!

2007-06-20 12:27  biplab

svn4119:  * Fixed: Scripted wizard pages' minimal size is not
	  computed properly (Thanks wxLearner).

2007-06-20 10:36  biplab

svn4118:  * Fixed: Toolbar not filled after opening a project
	  (Due to last commit).

2007-06-20 09:15  biplab

svn4117:  * Fixed: [Bug #11205] Code Completion toolbar - not
	  loading functions
	  * Now Code-Completion plugin would not parse editor files during
	  Project Loading/Closing or App closing.

2007-06-20 09:09  byo

svn4116:  wxSmith: Fixed build for wx2.6

2007-06-20 09:02  killerbot

svn4115:  - CompilerLineType : cltNormal = 0

2007-06-20 08:12  biplab

svn4114:  * Added: The following SDK functions.
	  - ProjectManager::IsLoadingProject()
	  - ProjectManager::IsLoadingWorkspace()
	  - ProjectManager::IsClosingProject()
	  - ProjectManager::IsClosingWorkspace()

2007-06-20 07:24  killerbot

svn4113:  - extend the (CompilerLineType) enum at the end when
	  exported as int in config settings

2007-06-20 06:13  mortenmacfly

svn4112:  - applied compiler "info" output parsing to other GCC
	  compilers, too

2007-06-19 23:59  rickg22

svn4111:  Added new compiler output parsing level: cltInfo (fixes
	  info's treated as warnings).
	  Added new parsing line "In function: " for GNU Compiler.
	  Compiler Settings now at version 0.0.2.

2007-06-19 22:08  byo

svn4110:  wxSmith: Small update to editor area (it won't fetch
	  preview when code::blocks is not active)

2007-06-19 21:25  byo

svn4109:  wxSmith: Fixed sizing for childless sizers (static box
	  in wxStaticBox sizer did not expand)

2007-06-19 16:33  biplab

svn4108:  * Scripted wizard pages are now resizable. If you can't
	  see a control, please resize the page.

2007-06-19 10:49  biplab

svn4107:  * Fixed: DLL linking issue with Borland compilers.
	  * DLL Wizard:
	  - Fixed: Generated DLL not exporting function(s).
	  - Added: Borland, MSVC, OpenWatcom, Digital Mars C compiler
	  support.
	  - Added: Wizard will now create a header to use exported
	  function(s).

2007-06-19 08:09  biplab

svn4106:  * Fixed: Couple of Signed/Unsigned warnings in EnvVar
	  plugin.

2007-06-18 12:28  biplab

svn4105:  * Changed default TargetGenerationPolicy to
	  platform_default in *Project Options->Build Target* dialog.

2007-06-18 10:41  thomasdenk

svn4104:  - Added requested documentation
	  - Added GetID() utility function

2007-06-17 11:31  byo

svn4103:  wxSmith: Missign include

2007-06-16 17:35  byo

svn4102:  wxSmith: Yield delay method is now selected for Mac
	  when fetching editor content, added Refresh before Update.

2007-06-16 04:10  pecan

svn4101:  cbKeyBinder 1.0.38 2007/06/15
	  - Use full menu paths as key value in external storage
	  - Resolve unmatched menu id's with full menu paths
	  = Add Tool menu shortcut preservation via
	  cbEVT_MENUBAR_CREATE_BEGIN/END events

2007-06-16 02:04  biplab

svn4100:  * Fixed: [Bug #11347] Configure tools then keyboard
	  shortcuts is gone

2007-06-15 19:43  biplab

svn4099:  * Fixed: [Bug #11188] Dialogs Incorrectly Assign
	  wxWS_EX_VALIDATE_RECURSIVELY

2007-06-15 18:33  biplab

svn4098:  * Fixed: [Bug #11334] configure help text incorrect

2007-06-15 11:10  mortenmacfly

svn4097:  * envvars plugin: fixed C::B freeze due to unresolvable
	  recursion (limitation -but not a bug!- of MacrosManager)

2007-06-15 09:53  biplab

svn4096:  * Fixed: Possible crash while closing C::B.

2007-06-14 21:13  mortenmacfly

svn4095:  - envvars plugin: made debug output to debug console
	  optional

2007-06-14 09:36  mortenmacfly

svn4094:  - added RegExp for auto-import info from linker of GCC
	  based compilers

2007-06-13 13:12  killerbot

svn4093:  * added in project tree in the right click menus : Find
	  File : allows you to find and focus in the tree on the file you
	  specify

2007-06-13 09:47  mandrav

svn4092:  - Forgotten change in autotools build support for new
	  kernel-mode windows driver wizard.

2007-06-12 12:41  mandrav

svn4091:  - Added new kernel-mode driver wizard in autotools
	  build.

2007-06-12 12:37  mandrav

svn4090:  * Added "kernel-mode driver" wizard (windows only).
	  Contributed by Timo Kreuzer.

2007-06-12 10:40  mandrav

svn4089:  - Exposed ttNative target type to scripts (thx to
	  Christoph for spotting this).

2007-06-12 08:41  mortenmacfly

svn4088:  * added fortran 90 lexer by applying patch #2045
	  (thanks dmoore)

2007-06-11 19:43  byo

svn4087:  Missing headers in non-pch builds

2007-06-11 16:54  biplab

svn4086:  * Applied an reworked fix of [Bug #9438] View menu
	  check error

2007-06-11 11:09  biplab

svn4085:  * Rewritten portion of OpenWatcom linker command
	  generation code. Now the order of options will be maintained.

2007-06-09 20:28  byo

svn4084:  wxSmith: Fixed bug #10680: Pasting controls ignores
	  insertion point

2007-06-09 19:31  byo

svn4083:  wxSmith: Fixed bug #10980: Reproducible crash with
	  Cut/Paste

2007-06-09 16:07  byo

svn4082:  wxSmith: Update to code generating new event handler -
	  it now shows new handler property inside editor

2007-06-09 14:33  byo

svn4081:  wxSmith:
	  * Applied patches #2041 (wxSmith: wxsItemResData doesn't store
	  tool children ids fix) and #2042 (wxSmith: "Resource already
	  exists") made by Raph:
	  * wxHtmlWindow tries to load html page when it's shown in preview
	  * Small update to wxsDrawingWindow

2007-06-09 11:37  biplab

svn4080:  * Fixed: [Bug #11284] --personality=ask does not work

2007-06-08 21:50  pecan

svn4079:  DragScroll - Add non-pch header

2007-06-08 12:31  killerbot

svn4078:  - CodeCompletion : reduce protected members

2007-06-08 12:29  killerbot

svn4077:  - autosave : don't make methods public when not needed

2007-06-08 12:26  killerbot

svn4076:  - Sciptedwizard : clean up
	  - const correctness
	  - kill protected keyword : 'protected' for member variables is
	  bad practice !!! (so to everyone : stop using it please, so at
	  least the number of protected members no longer increases ;-) )

2007-06-08 12:01  killerbot

svn4075:  - fix so builds again for linux (broken in previous
	  commit)

2007-06-08 11:52  biplab

svn4074:  * Fixed: [Bug #11270] Missing focus on "Goto Function"
	  dialog

2007-06-08 11:19  biplab

svn4073:  * Fixed: [Bug #11007] Too small list windows in "New
	  FLTK/wxWidgets project"

2007-06-08 09:49  biplab

svn4072:  * Fixed: [Bug #10881] Advanced Regexes not available on
	  some versions of wx lib

2007-06-07 19:23  pecan

svn4071:  CodeSnippets 1.2.77
	  - Prepend CodeBlocks --personality= argument to codesnippets.ini

2007-06-07 19:21  pecan

svn4070:  KeyBinder 1.0.35 2007/06/7
	  - Prepend --personality arg to cbKeybinder.ini filename

2007-06-07 19:20  pecan

svn4069:  DragScroll 1.1.02 2007/06/7
	  - Prepend --personality arg to .ini filename

2007-06-07 17:49  biplab

svn4068:  * Fixed: If an editor is closed & reopened during an
	  active debugging session, last execution line was not
	  highlighted.

2007-06-06 20:44  mortenmacfly

svn4067:  * CC: added support for moving to the previous/next
	  function using CTRL+PgUp/PgDn (just like in Visual Studio)

2007-06-06 14:14  mortenmacfly

svn4066:  - lib_finder, cb_share_config: remove extraordinary PCH
	  usage
	  * envvars plugin: Added support for recursion and C::B macro
	  expansion

2007-06-06 12:29  mortenmacfly

svn4065:  - fixed slightly broken cb_share_config (linux) project
	  file

2007-06-05 14:37  killerbot

svn4064:  - headers

2007-06-05 09:42  mortenmacfly

svn4063:  * envvars plugin: added support for envvars per project

2007-06-05 08:00  mortenmacfly

svn4062:  - massive (but safe) macro-to-template update, mainly
	  for compiler plugins
	  * fixed annoying warning messages for access to registry keys
	  that are not available

2007-06-05 07:06  biplab

svn4061:  * Fixed: [Bug #11250] Scripting settings -> Main
	  Security Settings Dialog

2007-06-04 22:17  byo

svn4060:  wxSmith:
	  * Fixed updating position when using drag&drop in editor inside
	  items without sizers
	  * Another anti-artifact fix (prevent fetching screen content when
	  preview is being shown/hidden)

2007-06-04 20:59  byo

svn4059:  wxSmith: Removed flickering of editor when there's some
	  dialog opened in C::B

2007-06-04 20:44  byo

svn4058:  wxSmith: Applied patch #1994 by stahta01: Enable
	  wxSmith build using wxMSW without PCH

2007-06-04 20:38  byo

svn4057:  wxSmith:
	  * Applied patch #2031 by raph - added missing icons in art
	  provider, combo boxes in image editor are now sorted
	  * Applied patch #2030 by raph - added missing icon property for
	  menus
	  * Fixed problems with generated code using wxFontEnumerator (it
	  was incompatible with wx 2.8)

2007-06-04 20:10  byo

svn4056:  wxSmith: Applied patch #2032 by Raph: wxSmith: prevent
	  menueditor crash

2007-06-04 16:49  biplab

svn4055:  * Applied: [Patch #2027] Patch for bug 10992

2007-06-04 16:35  biplab

svn4054:  * Fixed: Duplicate entry in search history of Replace
	  dialog.

2007-06-04 15:56  biplab

svn4053:  * Fixed: New search item not stored in Search history
	  of Find/Find-in-files dialog.

2007-06-04 08:06  biplab

svn4052:  * Fixed: [Bug #11229] Sdk never issues
	  cbEVT_EDITOR_DEACTIVATED (By applying [Patch #2034], Thanks
	  pauliusz)

2007-06-02 19:39  byo

svn4051:  wxSmith: Added "Extra code" property based on patch by
	  #2029 by Raph, some small bug fixed by the way

2007-06-02 19:15  biplab

svn4050:  * Fixed: On Windows, Object files are created in same
	  directory where source files exist.

2007-06-02 18:37  byo

svn4049:  wxSmith: Updated refreshing system in editor

2007-06-02 18:35  biplab

svn4048:  * Fixed: Search string in Find/Find-in-files dialog
	  Search history is duplicated.

2007-06-02 14:33  byo

svn4047:  wxSmith: Added few NULL-pointer checks into
	  wxsDrawingPanel to improve
	  stability

2007-06-02 13:44  byo

svn4046:  wxSmith: Unsupported items (in XRC-based resources) are
	  now removed from palette (they were only disabled before)

2007-06-02 13:39  rickg22

svn4045:  Removed wx2.8 deprecated warnings from wxFlatNotebook

2007-06-02 11:26  byo

svn4044:  wxSmith: Internal update of resources

2007-06-02 06:03  rickg22

svn4043:  Managed to get rid of various wx2.8 DEPRECATED warnings
	  from the wxScintilla class.

2007-06-02 05:58  rickg22

svn4042:  Removed various "variable might be uninitialized"
	  warnings from pluginmanager.cpp (lns 918-921), sqapi.cpp (ln 49),
	  app.cpp (ln 496) and main.cpp (ln 661)

2007-06-02 01:17  rickg22

svn4041:  Fixed erroneous handling of "instantiated from"
	  compiler messages (MINGW).

2007-06-02 01:14  rickg22

svn4040:  Removed the incomplete PHP lexer. Instead, the php file
	  extensions were added to the HTML lexer.

2007-06-01 20:30  byo

svn4039:  Restored wxSmith bindings in main C::B project

2007-06-01 20:07  byo

svn4038:  wxSmith: when saving string into xml files, & is now
	  stored as &amp; - this prevents a little bit incompatibilities
	  between XRC file versions

2007-06-01 19:30  byo

svn4037:  wxSmith: Added missing EVT_INIT_DIALOG into wxDialog

2007-06-01 18:03  biplab

svn4036:  * Fixed: [Bug #11148] Can't compile using files on
	  different drives

2007-06-01 16:36  biplab

svn4035:  * Applied: [Patch #2025] relative paths fix for
	  findinfiles

2007-06-01 15:53  biplab

svn4034:  * Fixed: [Bug #10527] Search in modified files (By
	  applying [Patch #2028], Thanks to pauliusz)

2007-06-01 14:29  biplab

svn4033:  * Fixed: More Signed-Unsigned comparison warnings

2007-06-01 09:31  byo

svn4032:  wxSmithContribItems: Applied patch (made by Biplap) to
	  make wxCustomButton more wx2.8 compatible

2007-06-01 06:21  biplab

svn4031:  * Fixed: More Compiler warnings (Unsigned/Signed
	  comparison).

2007-06-01 06:15  biplab

svn4030:  * Fixed: A Number of Compilation Warnings in SDK.

2007-06-01 05:48  mortenmacfly

svn4029:  - lib_finder: updated to new wxSmith

2007-05-31 21:24  byo

svn4028:  Fixed wxSmith extensions in RegExTestbed plugin

2007-05-31 20:28  byo

svn4027:  wxSmith: Fixed bug in long string properties (multiline
	  editor was not shown)

2007-05-31 20:12  pecan

svn4026:  KeyBinder 1.0.34 2007/05/31
	  - Re-enabled dynamic merge eliminating consideration of
	  duplicates
	  - Corrected MortonMcFly's causing errors on msw wx2.8.4, Martin,
	  please tell me when you want to change the code.

2007-05-31 19:34  mortenmacfly

svn4025:  * added (completed) PHP and VBScript lexer, thanks
	  dmoore
	  - removed remaining wx26 artefacts from project files
	  - fixed some signed/unsingned compiler warnings

2007-05-31 18:01  biplab

svn4024:  * Fixed: [Bug #11214] No cursor on Fine-in-files when
	  no file open

2007-05-31 16:59  biplab

svn4023:  * Fixed: Wizard.GetProjectTitle() should now work
	  properly according to new changes made in ProjectPathPanel.

2007-05-31 15:40  killerbot

svn4022:  - adding the files

2007-05-31 15:34  killerbot

svn4021:  * WinAVR compiler support added

2007-05-31 12:50  mortenmacfly

svn4020:  - another fix for the compilation error on linux
	  (hopefully the final one)
	  * added support for PHP in HTML lexer (thanks dmoore)

2007-05-31 11:14  mortenmacfly

svn4019:  * (hopefully) fixed broken linux build caused by r4018
	  - additional macro removement (to be continued)

2007-05-31 07:50  mortenmacfly

svn4018:  * war against (returned?!?!) macros

2007-05-30 23:11  byo

svn4017:  Missing headers

2007-05-30 23:08  byo

svn4016:  wxSmithContribItems: Finally found the bug causing
	  undefined symbols: typo inside #pragma interface. That proves
	  that #pragma interface / #pragma implementation may only cause
	  problems (GCC can work without them for a long time now) and
	  should not be used if not necessary

2007-05-30 22:16  byo

svn4015:  wxSmith: Temporarily removed wxChart from Makefiles (it
	  just made some unreferenced symbols), added
	  wxCustomButton

2007-05-30 20:49  byo

svn4014:  wxSmith: Image files now have 32 or 16 suffix depending
	  on resolution (previously 32 suffix was skipped)

2007-05-30 18:15  byo

svn4013:  wxSmith: Changed int to size_t in wxsCoder to prevent
	  compiler warnings (suggested by MortenMacFly)

2007-05-30 18:13  byo

svn4012:  wxSmithContribItems: Fixed bugs in wxCustomButton so it
	  is now enabled in list of contrib items

2007-05-29 22:53  byo

svn4011:  wxSmith: Fixed bug #10678: Changes of variabe names not
	  directly shown in resource tree

2007-05-29 21:35  byo

svn4010:  wxSmith: Added detection of modal dialogs which
	  prevents few artifacts on editor

2007-05-29 17:36  biplab

svn4009:  * Fixed: Project directory not added in project wizard
	  (Bug introduced in rev 4002)
	  * Wrapped Linux hack for scripted wizard introduced in Rev 4004.

2007-05-29 14:41  pecan

svn4008:  CodeSnippets 1.2.76 2007/05/29
	  - Fixed: Not saving xml changes before "recently used" load
	  - Show filename base as root item label
	  - Verify:If external snippets, plgn *shouldnt* save conf OR xml
	  on exit
	  - Ok: saves done by OnClose SnippetsWindow & Tree routines
	  - Fix "Apply" menu item, misspelled __WX...__ preprocessor

2007-05-28 21:46  byo

svn4007:  wxSmith: Applied patch #2024: wxSmith: MenuEditor
	  loosing variable and member state made by raph

2007-05-28 17:46  killerbot

svn4006:  * in case of open project on the 'start here page', set
	  the filter directly to cbp files, and do NOT update the 'type of
	  files' [== do NOT update the Filters in this case : (source) file
	  <-----> project (file) ]

2007-05-28 16:24  biplab

svn4005:  * Reverted: Fix of Bug# 10992

2007-05-28 13:37  biplab

svn4004:  * Remaining portion of fix of [Bug #11193]

2007-05-28 12:28  killerbot

svn4003:  * fix static lib target name construction :
	  - @ CompilerCommandGenerator::SetupOutputFilenames : take into
	  account the yes/no of auto pre/post (it was always considered to
	  be auto which is incorrect)
	  - @ CompileTargetBase::GenerateTargetFilename : don't just
	  replace extension in case of auto post by '.a', use the extension
	  from the compiler option, and when there's no compiler then
	  fallback to the hardcoded '.a'
	  - CompileTargetBase::GetTargetFilenameGenerationPolicy : pointer
	  args replaced by references (no need to check the pointer for 0
	  then)

2007-05-28 12:21  biplab

svn4002:  * Fixed: [Bug #11193] The .cbp is incorrectly named at
	  project creation (Linux)

2007-05-28 01:31  rickg22

svn4001:  Removed warning message from editor configuration
	  dialog

2007-05-27 16:54  biplab

svn4000:  * Now debugging options would not be active if project
	  has a compiling target.

2007-05-27 16:39  biplab

svn3999:  * Applied: [Patch #2021] Fix glitch with Find in Files
	  search results failing to open (In modified form)

2007-05-27 16:34  biplab

svn3998:  * Fixed: [Bug #11195] Editor Settings can't be changed
	  or it crash.

2007-05-27 07:50  ceniza

svn3997:  * Implemented Feature Request #3327: Double click
	  target executes it.

2007-05-26 14:53  biplab

svn3996:  * Fixed: [Bug #11174] Font Interim Settings Lost

2007-05-26 14:27  biplab

svn3995:  * Fixed: Project file option dialog not placed at
	  centre.

2007-05-26 09:27  mandrav

svn3994:  * Fix for huge editor settings dialog under wx2.8.4.

2007-05-25 09:17  biplab

svn3993:  * Fixed: Compilation warning on use of uninitialized
	  variable (on behalf of mandrav)

2007-05-25 01:28  ceniza

svn3992:  Patch #2018 by Rick:
	  * Added CR to clipboard when exporting compiler messages on Win32
	  * Removed signed/unsigned warnings from various dialogs

2007-05-24 11:48  biplab

svn3991:  * Updated Win32GUI wizard to generate proper project
	  for Borland compiler.

2007-05-24 11:36  biplab

svn3990:  * Added: Detection code to detect Turbo C++ Explorer's
	  compiler.

2007-05-23 02:37  ceniza

svn3989:  Compiler plugin:
	  * Changed the event association to work with the new wxChoice.

2007-05-22 16:45  ceniza

svn3988:  Compiler plugin:
	  * Replaced build target's wxComboBox with a wxChoice (it fixes
	  the "disappearing combo box" bug on Linux).

2007-05-22 12:04  biplab

svn3987:  * Fixed: [Bug #10790] red dot disappearing

2007-05-22 09:43  mandrav

svn3986:  * Don't ask for confirmation when removing a tool
	  separator in tools configuration dialog (patch #1990).

2007-05-22 09:38  mandrav

svn3985:  * Default filename in "File->Save As" does not lose the
	  extension anymore (patch #2006 for bug #11036).

2007-05-22 09:33  mandrav

svn3984:  * Make project active by double-clicking in project
	  manager tree (patch #2017).

2007-05-22 09:18  mandrav

svn3983:  * Fixed crash when removing custom tools (bug #11141).

2007-05-22 09:08  mandrav

svn3982:  Reverted to notebook (instead of choicebook) for
	  compiler and project options dialogs (due to popular demand).

2007-05-21 21:41  byo

svn3981:  Added some missing includes I've found while compiling
	  C::B

2007-05-21 21:39  byo

svn3980:  wxSmith:
	  * Removed most "standard" events for most of widgets, they're not
	  supported in wxGTK, not granted to work on any specific platform
	  and it's just risky for now (I thought it's wx bug, but ppl say
	  it's how it should work on wx forum :/ )
	  * When regenerating source code, EOL line mode is now fetched
	  from sources, not from C::B settings, that prevents "Inconistent
	  EOL" svn message during commit in some circumstances

2007-05-21 17:47  pecan

svn3979:  -CodeSnippets 1.2.73 2007/05/21
	  - Add Recently Used Indexes
	  - Fix error in category to category drag

2007-05-21 16:36  biplab

svn3978:  * Fixed: Wizard not overwriting existing files when
	  told to do so.

2007-05-21 10:32  biplab

svn3977:  * Fixed: [Bug #11121] bugs with openwatcom 1.6 linker
	  * Improved: Generation of OpenWatcom Linker (wlink.exe)
	  parameters.

2007-05-20 18:49  biplab

svn3976:  * Fixed: [Bug #11134] last chosen file type not
	  remembered on 'new file'

2007-05-20 13:32  biplab

svn3975:  * Fixed: [Bug #10992] Find within Editor does not work
	  properly

2007-05-20 12:18  biplab

svn3974:  * Applied: [Patch #2003] Debug menu/toolbar icon
	  agreement

2007-05-20 11:05  biplab

svn3973:  * Fixed: Deprecated function warning in cbEditor.

2007-05-19 17:55  daniel2000

svn3972:  - updated file list of spec file (codesnippets
	  executable)

2007-05-18 16:37  daniel2000

svn3971:  - makefile fix for make dist (wrong file name in astyle
	  install.txt -> html)

2007-05-17 18:44  byo

svn3970:  wxSmith: Fixed bug causing empty sizers to have 0x0
	  size (appeared in wx 2.8)

2007-05-17 18:19  byo

svn3969:  wxSmith:
	  * Removed any code related to user-defined items (it was
	  unfinished and buggy, wxSmith extension like contrib items plugin
	  is better solution than this)
	  * Fixed bug in editor scrolling (couldn't easily scroll editor
	  area)

2007-05-16 17:02  byo

svn3968:  wxSmith: Fixed makefiles

2007-05-15 23:41  byo

svn3967:  wxSmith: Added wxGrid

2007-05-15 07:25  killerbot

svn3966:  - some const fixes

2007-05-15 06:19  mortenmacfly

svn3965:  - envvars plugin: added missing headers detected by
	  Byo's HeaderFixup plugin

2007-05-14 15:03  killerbot

svn3964:  - non pch build fix

2007-05-14 15:01  killerbot

svn3963:  - non pch build fix

2007-05-14 12:58  killerbot

svn3962:  - envvars : makefile fix

2007-05-14 08:48  mortenmacfly

svn3961:  * updated envvars plugin: allow 1..n envvars sets
	  (similar GCV sets)
	  * warning: this envvar version will not import the old
	  configuration due to the changes, backup before

2007-05-11 22:48  afb

svn3960:  - updated D keywords

2007-05-11 21:27  pecan

svn3959:  KeyBinder - Tim S fix to keybinder.cbp

2007-05-11 20:43  pecan

svn3958:  KeyBinder 1.0.33 2007/05/11
	  - Fixes for use of non-precompiled headers + Tim S fixes

2007-05-11 20:42  pecan

svn3957:  CodeSnippets 1.2.71 2007/05/11
	  - Fixes for use of non-precompiled headers + Tim S fixes

2007-05-10 22:41  pecan

svn3956:  - KeyBinder - add missing includes

2007-05-10 20:17  mortenmacfly

svn3955:  * updated tinyxml (core lib) from 2.5.2 to 2.5.3 (bug
	  fixes)
	  * fixed issue that wizards would overwrite an existing file
	  without warning(!!!)
	  - minor fix in wxContribItems project file
	  - very minor cosmetic fixes

2007-05-10 19:10  pecan

svn3954:  CodeSnippets 1.2.70 2007/05/10
	  - Fix duplicate menu entry

2007-05-10 18:42  pecan

svn3953:  KeyBinder 1.0.32 2007/05/10
	  - Removed OnIdle() dynamic merging. Set Merge just before user
	  definitions.
	  - Fixed disappearing "duplicate" menu items. If mis-matched id,
	  just leave 'em alone.

2007-05-10 18:00  biplab

svn3952:  * Fixed: wxWidgets Wizard error in Linux

2007-05-10 17:50  biplab

svn3951:  * Fixed: [Bug #10987] Layout file error message
	  * Fixed: [Bug #11016] Colour theme rename resets to defaults

2007-05-10 02:40  pecan

svn3950:  CodeSnippets 1.2.69 2007/05/9
	  - update.bat remove old unused commands
	  - Set launch LD_LIBRARY_PATH relative to AppPath

2007-05-09 16:21  pecan

svn3949:  -CodeSnippets - minor corrections to Makefile.am

2007-05-09 10:56  killerbot

svn3948:  * applied patch 1989 : Fixes "new file not added to
	  project" bug

2007-05-08 19:30  killerbot

svn3947:  - some const fixes

2007-05-08 19:00  pecan

svn3946:  CodeSnippets - correct windows .cbp to match changed
	  linux cbp

2007-05-08 18:43  killerbot

svn3945:  - some const fixes

2007-05-08 18:31  killerbot

svn3944:  - some const fixes

2007-05-08 18:13  biplab

svn3943:  * Fixed: Couple of deprecated functions warning with
	  wx-2.8.

2007-05-08 09:00  mandrav

svn3942:  * Class wizard now keeps the same class-name
	  capitalization for the generated filenames.

2007-05-08 00:15  pecan

svn3941:  CodeSnippets 1.2.66 2007/05/7
	  - Modified code, cbp's and Makefile.am's to allow linux
	  preprocessor defs

2007-05-07 21:52  byo

svn3940:  Added few missing headers for non-pch builds
	  Fixed codeblocks.spec.in

2007-05-07 20:32  byo

svn3939:  wxSmith: Fixed problems with wxSmith's automake

2007-05-06 16:41  biplab

svn3938:  * Fixed: Couple of deprecated function warnings with
	  wx-2.8.

2007-05-06 05:31  pecan

svn3937:  CodeSnippets - correct Makefile.am per KillerBot

2007-05-05 17:28  pecan

svn3936:  CodeSnippets 1.2.65 2007/05/5
	  - Removed or disabled all linux code which depended on linux
	  makefile preprocessor flags

2007-05-05 11:29  pecan

svn3935:  CodeSnippets Revertins to 1.2.63 to avoid makefile
	  limitations

2007-05-05 02:30  pecan

svn3934:  CodeSnippets Workarounds to unix build process to allow
	  BUILDING_PLUGIN compile flag

2007-05-04 16:01  ceniza

svn3933:  AStyle plugin
	  * Fixed EOL bug
	  - Fixed GNU style preview
	  - Updated to AStyle 1.20.2

2007-05-04 14:37  pecan

svn3932:  CodeSnippets 1.2.64 2007/05/4
	  - corrections to code for removing unix BUILDING_PLUGIN

2007-05-04 12:32  mandrav

svn3931:  - Fixed broken build of src/environmentsettingsdlg.cpp
	  (for wx2.6).

2007-05-04 09:59  mandrav

svn3930:  * Fixed find/replace infinite loop in some cases (patch
	  #1960 for bug #10886).
	  * Added "add separator" button in tools configuration dialog
	  (patch #1977 for rfe #3301).
	  * Fixed constant complaining about directory not being empty when
	  creating project from user template (patch #1981).
	  * Fixed linker error regex (lib not found) for gcc-derived
	  compilers to work for non-windows platforms too.
	  * Updated codesnippets and wxsmith unix projects.
	  * Minor fixes in wxsmith to correctly build with wx2.8.0.
	  * Added src/aui_compat.h file to use either our bundled wxAUI or
	  the wxWidgets supplied one, based on the wx version (<2.8.0 uses
	  our own AUI).

2007-05-03 20:09  pecan

svn3929:  Removed file/folder

2007-05-03 20:08  pecan

svn3928:  Removed file/folder

2007-05-03 20:03  pecan

svn3927:  CodeSnippets 1.2.63 2007/05/3
	  - Incorporate mappedmemoryfile fixes by Tim S

2007-05-03 15:24  mortenmacfly

svn3926:  * show file properties in notebook menu, too (e.g. to
	  see the file's path)

2007-05-03 14:43  killerbot

svn3925:  - update to previous commit (no CamelCase)

2007-05-03 14:40  killerbot

svn3924:  - linux build fixes

2007-05-03 14:35  killerbot

svn3923:  - fix linux build

2007-05-03 11:43  pecan

svn3922:  CodeSnippets - remove experimental GTK keep-on-top call

2007-05-02 20:02  mortenmacfly

svn3921:  * envvars plugin: check on setup if envvar exists and
	  warn user accordingly
	  - envvars plugin: minor code-cleanup

2007-05-02 19:38  pecan

svn3920:  KeyBinder 1.0.30 2007/05/2
	  - Create backup keys .ini.bak only on successful user definition
	  save
	  - Set guards against merging when possible app shutdown
	  - Add ConfigBusy guard to OnIdle() merging
	  - Added EVT_MENUBAR_CREATE_BEGIN/END, but they're never called.
	  Even if they were, they're not called during Tools menu changes.
	  - Corrections for warning msg in wx2.8.3
	  - Removed key assignment via text search when id search
	  fails.(wxKeyBinder::UpdateSubMenu). Causes too much grief.

2007-05-02 19:20  pecan

svn3919:  CodeSnippets 1.2.61 2007/05/2
	  - Change modal editors to wxFRAME_FLOAT_ON_PARENT (works much
	  better)
	  - UnIconize and focus hidden|iconsized editors when user
	  re-selects tree item
	  - Corrections to FindAppPath() when pgm started without .exe .bat
	  etc.

2007-05-02 16:13  mortenmacfly

svn3918:  * cb_share_config: Added ablity to export specific C::B
	  config nodes only to a backup file

2007-05-02 09:41  mortenmacfly

svn3917:  * EnvVars plugin: fixed a bug not to be able to set
	  envvars containing semi-colons

2007-05-02 08:21  biplab

svn3916:  * A minor update to wxWidgets wizard's multiple library
	  selection page.

2007-05-01 16:17  pecan

svn3915:  CodeSnippets - check memorymappedfile.* box before
	  committing stupid! (age related)

2007-05-01 14:21  pecan

svn3914:  CodeSnippets 1.2.59 2007/05/1
	  - Restore statusbar text on idle
	  - Pass independent window a keepAlive Pid. ping it to save data
	  on exit.
	  - Add plgn communications to independent windows via memory
	  mapped file
	  - Clean up temp memory mapped file on termination
	  - Correct update and update.bat

2007-05-01 12:28  biplab

svn3913:  * Updated: Now wxWidgets wizard would be able to add
	  target in Linux / Mac.

2007-05-01 11:07  daniel2000

svn3912:  - Makefile fix in wxsmith
	  - updated file list of spec file

2007-05-01 10:52  biplab

svn3911:  * Fixed: Some compilation issues regarding newly added
	  script function.

2007-04-30 14:20  pecan

svn3910:  CodeSnippets - remove editsnippetdlg.cpp/h from
	  Makefile.am again & again & again

2007-04-30 13:23  biplab

svn3909:  * Fixed: An empty virtual folder is created when
	  "Categorize by file types" is unchecked.

2007-04-30 12:51  byo

svn3908:  wxSmith:
	  * Fixed crash when setting style for wxChoice
	  * Applied fix that may probably fix the crash on exit (previous
	  didn't worked) - this one prevents using structure after it's
	  been destroyed

2007-04-30 11:00  biplab

svn3907:  * Added & Exposed following SDK functions to Scripts:
	  - wxListBox::GetListboxSelections()
	  - wxListBox::GetListboxStringSelections()
	  * Modified additional wx lib entry pages. Now additional
	  libraries can be selected from a listbox.
	  * Fixed: RunTargetWizard() will now add target to project files.
	  * Fixed: Wizard::GetCompilerID() will now return proper compiler
	  id for targets.
	  * Added: wxWidgets project to Build target wizard

2007-04-30 05:33  biplab

svn3906:  * Exposed the following functions to Scripting system.
	  Please read the wiki for details
	  - GetArrayFromString [Global function]
	  - GetStringFromArray [Global function]
	  - wxArrayString::Index

2007-04-30 04:55  biplab

svn3905:  * Fixed: Couple of Enable / Disable issues in File
	  menu.

2007-04-28 19:48  byo

svn3904:  Added wxCustomButton from wxThings into
	  wxSmithContribItems, not enabled yet since it produces some
	  crashes

2007-04-28 16:26  pecan

svn3903:  DragScroll 1.1.01 2007/04/28
	  - Remove wx2.6.3 work-arounds fixed by wx2.8.3

2007-04-28 15:19  pecan

svn3902:  CodeSnippets 1.2.51 2007/04/28
	  - On startup, wait for user to open window with view/snippets
	  menu
	  - Fixed CB fullscreen editor focus. Make editors modal while they
	  have focus.

2007-04-27 23:01  pecan

svn3901:  CodeSnippets 1.2.42 2007/04/27
	  - Add dragscrolling options to settingsdlg() & snippetsconfig()
	  - snippetsconfig() globally manages all config items for all
	  classes
	  - Fixes to allow docked window to restart at previous position
	  - More hacking to work around wxAUI docked/floating windows
	  deficiencies
	  - Added settings preference for window type & mouse scrolling
	  - Corrections for linux settings preferences
	  - Corrected path for codesnippets; add wxLearner gtkx.h includes
	  for *nix
	  - Fixes to OnUpdateUI routine when switching between window types
	  - Verfied wx2.8.3 fixed closing Docked window crash
	  - Added wxProcess::Exists() to check on external snippets window
	  - Fixed wx2.8.3 RightClick not selecting tree item
	  - Fixed external snippet storage folder not being recorded

2007-04-27 21:28  byo

svn3900:  wxSmith:
	  * Removed more wx 2.8 warnings
	  * Added few missing headers
	  * Replaced few const wxString& with wxString (looks like it fixes
	  problem with crash when wxSmith is unloading)

2007-04-27 12:25  biplab

svn3899:  * Fixed: Couple of runtime errors in auto-detection
	  code of Intel C/C++ compiler.

2007-04-27 10:51  byo

svn3898:  wxSmith: Splitted into two dlls to prevent problems
	  then loading plugin (only windows project for now)
	  wxSmithContribItems: updated project to work with new wxSmith
	  layout

2007-04-27 10:20  byo

svn3897:  wxSmith: Fixed destination dirs for wxsmith libraries

2007-04-27 09:57  biplab

svn3896:  * Fixed: [Bug #10918] Virtual folder
	  * Now a virtual folder will be removed if "Remove {folder}\* " is
	  clicked.

2007-04-26 22:20  byo

svn3895:  wxSmith: Removed few warning on wx2.8

2007-04-26 20:30  mortenmacfly

svn3894:  - moved cb_share_config project file to wxWidgets
	  version 2.8

2007-04-26 15:44  pecan

svn3893:  CodeSnippets - correct Makefile.am per daniel2000

2007-04-26 11:51  biplab

svn3892:  * Fixed: Enable/Disable of couple of File menu items.

2007-04-26 07:29  mortenmacfly

svn3891:  - updated byogames to new wxSmith

2007-04-26 07:14  mortenmacfly

svn3890:  - updated cb_koders to new wxSmith

2007-04-25 23:35  byo

svn3889:  Fixed "invalid manifest" message when loading wxSmith
	  and wxSmithMime
	  plugins

2007-04-25 12:42  killerbot

svn3888:  - on windows : use wx 2.8.3

2007-04-24 21:54  byo

svn3887:  wxSmith: Small typo

2007-04-24 20:42  byo

svn3886:  wxSmith: That will possibly fix build issues on MAC
	  (thanks to afb)

2007-04-24 10:16  biplab

svn3885:  * Improved: Auto-detection of Intel C/C++ Compiler in
	  Linux

2007-04-24 08:47  mortenmacfly

svn3884:  * updated cb_share_config to new wxSmith (including
	  minor gui enhancements)

2007-04-23 22:54  pecan

svn3883:  CodeSnippets Add $(WX_CXXFLAGS) to Makefile.am

2007-04-23 17:55  byo

svn3882:  wxSmith: Fixed event generation for root item

2007-04-23 17:54  byo

svn3881:  Added support for content of wxChartCtrl item (charts
	  can be added inside RAD now)

2007-04-23 17:35  pecan

svn3880:  CodeSnippets 1.2.29 2007/04/23
	  - Removed old editSnippetDlg class
	  - Enabled Properties edit cut/copy/paste/undo/redo/selectAll
	  - Fixed: can't force close/switch when menu item active
	  - Add Index File backup facility
	  - stahta01 changes 2007/4/21 for wxGTK2.8
	  - Add ".trash" option to delete external file (ask to delete
	  file)
	  - Corrected messageBox placement for floating vs docked window
	  (again)
	  - Fixed Termination/OnActivate crash
	  - Fixed bug on Linux, the executable folder wx being reported
	  incorrectly
	  

2007-04-20 19:38  pecan

svn3879:  CodeSnippets 1.2.15 2007/04/20
	  - changed some wxMessageBox(s) to messageBox
	  - If file already open in editor, just focus it. (use
	  wxTreeItemId)
	  - messageBox(s) identify themselves as CodeSnippets msgs
	  - Prevent window size of 0,0
	  - Prevent multiple instances of CodeSnippets
	  - Add DragnDrop of File Links to/from CodeBlocks (GTK)

2007-04-20 15:21  afb

svn3878:  * make wxSmithContribItems work on Mac

2007-04-20 12:36  biplab

svn3877:  * Fixed: [Bug #10914] Code windows lost focus is Find
	  command failed

2007-04-19 18:56  byo

svn3876:  Fixed linux build for wxSmithContribItems

2007-04-19 05:07  daniel2000

svn3875:  - missed one autotools fix for make dist last time

2007-04-18 22:24  byo

svn3874:  Added property for internal wxChart's styles

2007-04-18 21:00  byo

svn3873:  wxSmith: fixed bug in flags property

2007-04-18 20:29  byo

svn3872:  Applied patch by stahta01 which makes
	  wxSmithContribItems.cbp more standard

2007-04-18 20:17  byo

svn3871:  wxSmith: Switched to check boxes in flags property
	  instead of Yes/No combo (it's much easier to use)

2007-04-18 19:37  byo

svn3870:  wxSmith: Added "UseNamesInXml" argument for
	  wxsFlagsProperty

2007-04-18 11:56  biplab

svn3869:  * Fixed: [Bug #10895] global variables dialog

2007-04-18 08:05  biplab

svn3868:  * Fixed: [Bug #10905] No target is equivalent to all
	  targets

2007-04-17 22:17  byo

svn3867:  wxSmith:
	  * Cleaned properties system (removed PropertiesFlags argument
	  when registering properties which just messed up things)
	  * Added priority argument which will allow ordering properties in
	  future
	  * Added macros for Enum / Flags property

2007-04-17 15:57  pecan

svn3866:  - CodeSnippets 1.2.03 2007/04/17
	  - Add multi-edit session support
	  - Add DragnDrop of File Links to/from CodeBlocks (MSW)
	  - cascade subsequent edit windows, else they stack.

2007-04-17 13:30  biplab

svn3865:  * Fixed: [Bug #010898] Build configuration is
	  duplicated when overwriting a project

2007-04-17 12:50  biplab

svn3864:  * Now Startpage will not be closed if the wizard is
	  cancelled.

2007-04-17 06:25  biplab

svn3863:  * Fixed: [Bug #9409] qt wizard: searching for
	  libQtCore4 in lib dir (linux)

2007-04-16 21:48  byo

svn3862:  wxSmith: Added missing include (thx stahta01)

2007-04-16 19:05  daniel2000

svn3861:  - autotools fixes for make dist
	  - updated file list of spec file

2007-04-16 12:06  biplab

svn3860:  * Updated Qt4 Wizard. Now it should work in Linux.

2007-04-16 09:12  biplab

svn3859:  * Fixed: [Bug #10802] ctrl-shift-w can cause c::b to
	  crash

2007-04-15 00:25  pecan

svn3858:  CodeSnippets 1.1.75 2007/04/14
	  - Again: Remove AMD64 gentoo ambiguity on finddlg wxComboBox call

2007-04-14 19:24  byo

svn3857:  Added wxSmithContribItems project to automake files

2007-04-14 16:16  byo

svn3856:  Added wxSmithContribItems plugin which adds extra items
	  not included in base wxWidgets to wxSmith.

2007-04-14 12:31  pecan

svn3855:  - CodeSnippets 1.1.74 2007/04/14
	  - Remove Gentoo linux AMD64 ambiguity on finddlg wxComboBox call

2007-04-14 02:22  pecan

svn3854:  CodeSnippets 1.1.73 2007/04/13 - Fixes & Edit additions
	  (cf., version.cpp)

2007-04-13 12:24  biplab

svn3853:  * Applied remaining portion of [Patch #1958]

2007-04-13 11:33  biplab

svn3852:  * Fixed: Improperly updated 'Cut' menu items and
	  toolbar icon (Applied modified [Patch #1958], thx pauliusz)
	  * Fixed: Couple of other menu item update issues.

2007-04-13 06:29  biplab

svn3851:  * Fixed: [Bug #9233] include directories are not parsed
	  after using Wizard

2007-04-12 22:44  byo

svn3850:  wxSmith: Enabled creation of .lib file for wxSmith
	  plugin (this is required by plugins which would like to add extra
	  features for wxSmith like new widgets)

2007-04-12 18:02  pecan

svn3849:  -CodeSnippets 1.1.56 2007/04/12
	  - Avoid file changed dialog when .xml does not exist

2007-04-12 17:55  byo

svn3848:  wxSmith: Added missing GPL header I forgot

2007-04-12 17:49  byo

svn3847:  wxSmith: fixed bug found by SamT
	  (http://forums.codeblocks.org/index.php/topic,5667.msg43552.html#msg43552)

2007-04-12 15:32  biplab

svn3846:  * Fixed: [Bug #9596] Wrong path when adding files and
	  [Bug #10821] Multiple files are added to wrong folders.

2007-04-12 13:38  mandrav

svn3845:  * Removed hotkey (Ctrl-Alt-E) from "View->Focus editor"
	  because it would prevent input of certain non-ascii characters
	  (bug #10843).

2007-04-12 13:32  mandrav

svn3844:  * Removed incorrect error message when using SaveAs for
	  a project or workspace and pressing cancel (patch #1956 for bug
	  #10857).

2007-04-12 13:26  mandrav

svn3843:  * Revert to global scope search using F3, when
	  deselecting selected text (patch #1879).

2007-04-12 12:57  mandrav

svn3842:  - Moved macro expansion of $objects_output_dir in
	  compiler commands generator after $options macro is expanded, so
	  the former can be used in the latter (patch #1742).

2007-04-12 12:33  mandrav

svn3841:  * Added commenting/uncommenting support for multiple
	  languages, not only C/C++ (patch #1839, part 1).
	  * Added smart indent (as you type) support for python (patch
	  #1839, part 2).

2007-04-12 12:15  mandrav

svn3840:  * Fixed 'update' script (not the .bat) to work
	  correctly if used under MSYS (patch #1951).

2007-04-12 12:12  mandrav

svn3839:  * Added setting of fillup characters (i.e. that cause
	  the completion to be accepted) for code-completion (patch #1714).
	  For example, by adding "(." as fillup characters, the completion
	  will be accepted by pressing "(" or "." (Enter/Return always
	  works).

2007-04-12 12:00  mandrav

svn3838:  - Destroy statusbar instead of hiding it, when
	  disabling it with View->Statubar (patch #1688).

2007-04-12 11:56  mandrav

svn3837:  * Notify all debugger plugins for breakpoint lines
	  changes (patch #1625).

2007-04-12 11:42  mandrav

svn3836:  * Fixed parsing of flat profile for large counts in
	  profiler plugin (patch #1551).

2007-04-12 11:28  mandrav

svn3835:  * Fix in symbols browser, where it would fail to detect
	  the active editor's filename in some special cases (patch #1559).

2007-04-12 11:21  mandrav

svn3834:  * Open external terminal for I/O when debugging console
	  apps under linux (patch #1882).

2007-04-12 11:03  mandrav

svn3833:  * Added get/set functions for custom build commands in
	  projectfile and also exposed them to scripts (patch #1743).

2007-04-12 10:45  mandrav

svn3832:  * Various editor commands now check if the open file in
	  editor is read-only and are disabled accordingly (cut, paste,
	  delete, etc).
	  - Added EditorBase::IsReadOnly() and also exposed it to scripts.

2007-04-12 10:20  mandrav

svn3831:  * Added hint about a couple more useful macros in tool
	  editing dialog (patch #1856).

2007-04-12 10:12  mandrav

svn3830:  * Added entries in editor's context menu to
	  uppercase/lowercase the current selection (patch #1942).

2007-04-12 10:08  mandrav

svn3829:  * Disable "Edit->Special commands->Case" if no
	  selection in editor (patch #1946).

2007-04-12 10:05  biplab

svn3828:  *Fixed: [Bug #10781] ToDo list is not updated

2007-04-12 10:01  mandrav

svn3827:  * Added setting for using advanced regular expressions
	  in "Find" and "Replace". Setting can be found in editor options
	  (patch #1701).

2007-04-11 21:14  byo

svn3826:  wxSmith:
	  * Added MinSize / MaxSize properties for widgets and containers
	  * Moved wxsBaseProperties::BuildSetupWindowCode and
	  wxsBaseProperties::SetupWindow to wxsItem

2007-04-11 15:07  biplab

svn3825:  * Fixed: [Bug #10817] completion: closing last file (By
	  applying [Patch #1955], thanks pauliusz)

2007-04-11 10:56  biplab

svn3824:  * Fixed: [Bug #10695] folders

2007-04-10 17:45  pecan

svn3823:  - CodeSnippets 1.1.55 2007/04/10
	  - Fixed: missing event.Skip() in OnIdle() caused debugger to
	  hang.

2007-04-10 10:34  biplab

svn3822:  * Now an inactive project will not be activated to show
	  Build options.

2007-04-09 22:27  byo

svn3821:  wxSmith:
	  * Added EVT_CLOSE for wxFrame and wxDialog (this required fixing
	  some bug in event-connecting code generation)
	  * Switched from wxFrame::CreateToolBar to new wxToolBar in code
	  generated for wxToolBar

2007-04-09 10:26  biplab

svn3820:  * Fixed: [Bug #10822] Missing PlaceWindow on
	  non-windows platforms

2007-04-08 18:36  pecan

svn3819:  -CodeSnippets - another const for settingsconfig

2007-04-07 15:55  biplab

svn3818:  * Fixed: Couple of more wrong use of "..." in menus.

2007-04-07 06:31  biplab

svn3817:  * Fixed: One wrong use of "..." in editor and applied
	  one fix missed in Rev 3815.

2007-04-06 15:07  biplab

svn3816:  * Build progress bar now gets cleared if a build fails.

2007-04-06 14:42  biplab

svn3815:  * Fixed: Couple of wrong uses of "..." in editor pop-up
	  menu.

2007-04-06 14:31  biplab

svn3814:  * Project file options dialog now shows parent
	  project's compiler.

2007-04-05 14:55  afb

svn3813:  - wxMac: codesnippets compilation fixes

2007-04-05 03:59  biplab

svn3812:  * Fixed: [Bug #10812] wrong project selected on "build
	  options" and couple of other fixes.

2007-04-04 00:22  pecan

svn3811:  *CodeSnippets 1.1.54 2007/04/3
	  - Fix Linux: add const to use of wxWX2MBbuf cbU2C(const wxString&
	  str);

2007-04-03 20:13  pecan

svn3810:  *CodeSnippets 1.1.53 2007/04/3
	  -Ability to save Snippets as external files
	  -Ability to edit externally saved Snippet file
	  -New Text vs File Link icons
	  -Ability to Rearrange tree with Drag and Drop
	  - Drag Snippet to Category
	  - Drag Category to Category
	  - Drag Snippet to Snippet creates new Category with old Snippet
	  - Drag Snippets/Categories outward and inward.
	  -Convert To Category context menu facility
	  -Added drag snippet item outward (MSW) w/ both text/file drag
	  formats
	  -Dragging snippet File Link to CB opens the file.
	  -Changed text editor to Scintilla
	  -Added DragScrolling to Editor
	  -Allow Editor/Properties DragNDrop in both directions
	  -Expand first level Snippets on Startup
	  -Check for externally modified XML file
	  -Free resources & save index when Snippet window closes
	  -Portability
	  -User specified window placement
	  -User specified XML placement
	  -User specified external editor
	  -Save XML index file menu entry
	  -About info and context menu entry
	  -Snippet Properties Dialog

2007-04-03 15:07  biplab

svn3809:  * Fixed: [Bug #10792 and Bug #10798] Sent twice
	  Parameters to external tools (By applying modified [Patch #1941],
	  thx pauliusz)

2007-04-03 07:15  afb

svn3808:  - fix some 'make dist'/srcdir issues

2007-04-03 05:02  biplab

svn3807:  * Reworked and improved last commit.

2007-04-03 04:39  biplab

svn3806:  * Fixed: [Bug #10797] Renaming a file to an existing
	  file deletes old file (By applying modified [Patch #1939], thx
	  pauliusz)

2007-04-01 08:41  killerbot

svn3805:  - update to previous commit

2007-04-01 08:23  killerbot

svn3804:  - re-enable a previous change

2007-03-31 12:58  afb

svn3803:  * wxMac: fix large toolbar icons (Bug #10785)

2007-03-31 12:53  afb

svn3802:  * use versions for D's defines (Bug #10658)

2007-03-31 12:52  pecan

svn3801:  * KeyBinder 1.0.24 2007/03/31
	  - Remove dynamic merge from OnRelease() to avoid recording CB
	  core menu deletions
	  - Reinstate Menu UpdateByLabel when UpdateByID fails
	  - First half of fix for missing menu items

2007-03-30 20:49  byo

svn3800:  wxSmith: Fixed some bugs with default size for wxDialog
	  and wxFrame

2007-03-30 12:39  biplab

svn3799:  * Fixed: [Bug #10779] funny folding behavior in a
	  split-view
	  * Fixed: [Bug #10784] Undo in lower split window scrolls the
	  upper

2007-03-30 07:40  biplab

svn3798:  * Fixed: [Bug #8279] Opened Files tree displays
	  newly-created files incorrectly.

2007-03-29 21:03  mortenmacfly

svn3797:  * report if a new project overwriting an existing one
	  cannot be created (e.g. due to the old one being read-only) and
	  handle this as error (!)

2007-03-29 18:50  mortenmacfly

svn3796:  * fixed minor bug with locked icon not restored when
	  closing a workspace
	  - removed icon "gohome" no longer used

2007-03-29 12:21  mortenmacfly

svn3795:  * added different (locked) icon if project/workspace
	  file is read only on the file system
	  - added new icons; updated FileVisualState; updated documentation
	  for this purpose

2007-03-29 11:43  afb

svn3794:  - show template dir location for mac

2007-03-29 11:34  afb

svn3793:  * wxMac: make application startable without bundle (Bug
	  #10747)

2007-03-29 08:09  afb

svn3792:  * wxMac: fix for docking drawing bug (#10759)

2007-03-29 06:49  biplab

svn3791:  * Fixed: Project menu options (Build options and
	  Properties) not disabled when a project is compiling.
	  * Updated: Missing fix of [Bug #10750] and couple of typos.

2007-03-28 22:05  byo

svn3790:  wxSmith: Fixed BUG #9400, dialog's and frame's area can
	  now be freely resized when not using sizers. Also dialog's /
	  frame's size is set now through SetClientSize, not through
	  constructor params which makes it more compatible with XRC and
	  more cross-platform

2007-03-28 16:04  biplab

svn3789:  *Fixed: [Bug #10750] Wrong use of "..." on menu itmes

2007-03-28 11:59  afb

svn3788:  * add wxAUI dependency on GTK+ libraries

2007-03-28 11:55  afb

svn3787:  * fix PIC flags for Solaris

2007-03-28 11:51  afb

svn3786:  - wxMac: fix file properties dialog font size (bug
	  #10746)

2007-03-28 11:47  afb

svn3785:  * wxMac: allow wxWidgets project wizard programs to run

2007-03-28 11:08  biplab

svn3784:  * Fixed: [Bug #10611] Import of MS VC++ 6.0 compiler
	  options files

2007-03-28 09:52  thomasdenk

svn3783:  - Moved WindowsVersion() from application globals to
	  SDK globals
	  - Changed CompilerCommandGenerator::ExpandBackticks to use
	  WindowsVersion()
	  - Changed Manager::LoadToolBar to use WindowsVersion()
	  - Wrapped WindowsVersion() into namespace platform

2007-03-28 08:48  mandrav

svn3782:  * Update virtual targets too when renaming or deleting
	  a real target (bug #10708).

2007-03-28 08:41  mortenmacfly

svn3781:  * show a warning message if project/workspace could not
	  be saved if issued by menu for the case it's e.g. write-protected
	  (resolves FR #3252 partially)

2007-03-27 20:34  byo

svn3780:  wxSmith: Fixed bugs #10677 (Custom control not
	  correctly shown in designer and preview), #8244 (Auto source
	  editing doesn't work with relative files)

2007-03-27 15:41  biplab

svn3779:  * Added MessageManager::IsLogVisible() function to SDK
	  * Fixed: [Bug #9438] View menu check error

2007-03-27 12:25  mandrav

svn3778:  * Application now skips "activate" event so plugins can
	  now process it too.
	  * Added new events:
	  - cbEVT_DOCK_WINDOW_VISIBILITY: a docked window has been
	  shown/hidden.
	  - cbEVT_SWITCHED_VIEW_LAYOUT: a new view layout has been applied.
	  - cbEVT_MENUBAR_CREATE_BEGIN: menubar reconstruction has started.
	  - cbEVT_MENUBAR_CREATE_END: menubar reconstruction has ended.

2007-03-27 11:33  mandrav

svn3777:  * wx2.8 compatibility for main executable (patch #1910
	  restructured).
	  * When creating new project from user template, verify
	  destination dir is empty. If it is not, notify user that files
	  will be overwritten if he continues.

2007-03-27 11:05  mandrav

svn3776:  * wx2.8 compatibility for class wizard plugin (patch
	  #1914).

2007-03-27 11:03  mandrav

svn3775:  * wx2.8 compatibility for debugger plugin (patch
	  #1915).

2007-03-27 11:00  mandrav

svn3774:  * wx2.8 compatibility for compiler plugin (patch
	  #1916).

2007-03-27 10:52  mandrav

svn3773:  * If extensions handler plugin is disabled, notify
	  accordingly when trying to open a file not internally supported
	  (patch #1924 for bug #9796).

2007-03-27 10:49  mandrav

svn3772:  * cbU2C function now returns *const* WX2MBbuf (patch
	  #1929 for bug #10669).

2007-03-27 10:43  mandrav

svn3771:  * Parse debugger's output if gdb is set to use hardware
	  breakpoints as default (patch #1932).

2007-03-27 10:40  mandrav

svn3770:  * Fixed project wizards dialog having wrong dimensions
	  in wx2.8 (patch #1880).
	  * Replaced wxCheckList (old wx2.4 name) with wxCheckListBox
	  (patch #1893).

2007-03-27 10:33  mandrav

svn3769:  - Added missing keyword (foreach) in squirrel lexer.

2007-03-27 09:08  afb

svn3768:  - allow Cocoa and Carbon for Mac OS X

2007-03-27 09:06  afb

svn3767:  - rename platform*macos to platform*macosx

2007-03-27 08:53  afb

svn3766:  * fix default prefix on Unix (other than Linux)

2007-03-27 08:52  afb

svn3765:  * add Darwin and Solaris platforms

2007-03-26 22:19  byo

svn3764:  wxSmith: Committed some work related to user=defined
	  items (still not finished), no change of wxSmith's behavior

2007-03-26 18:24  biplab

svn3763:  * Improvements to OpenGL wizard. Fixes linking problem
	  when BCC is used.

2007-03-26 18:17  byo

svn3762:  Reduced workaround allowing to easily compile under
	  wxPropertyGrid under linux

2007-03-25 22:09  afb

svn3761:  * SDL/GLUT wizards updated for Mac

2007-03-25 21:31  afb

svn3760:  * fix Darwin/GTK2 wxWidgets libraries (bug #8821)

2007-03-25 20:56  afb

svn3759:  * fix bug where application failed to run

2007-03-25 06:58  biplab

svn3758:  * Fixed: [Bug #10674] Import of MS VC++ 8.0 forced
	  include file

2007-03-24 07:59  biplab

svn3757:  * Improvements of context menus in project manager.

2007-03-24 07:09  biplab

svn3756:  * Added "excluded from build" import support in MSVC 6
	  loader.
	  * Fixed: [Bug #10714] Can't search include directory when
	  compiling resource files

2007-03-24 00:59  byo

svn3755:  Fixed linux build

2007-03-23 22:54  afb

svn3754:  - didn't compile, wxToplevelWindow -> wxWindow

2007-03-23 22:45  byo

svn3753:  Added updates to wxPropGrid patch by stahta01

2007-03-23 22:36  byo

svn3752:  Switched into wxPropGrid ver 1.2.6
	  Applied patches by stahta01 making wxSmith compatible with wx 2.8

2007-03-23 22:14  afb

svn3751:  - missed a SCRIPT var for wxMac

2007-03-23 22:00  afb

svn3750:  * wxMac: run programs with Terminal.app, not xterm

2007-03-23 21:54  afb

svn3749:  * wxMac: debugger stop / PID -1 workaround

2007-03-23 21:46  afb

svn3748:  - centre splashscreen for wxMac

2007-03-23 16:57  thomasdenk

svn3747:  - Reverted patch #1762

2007-03-23 13:41  biplab

svn3746:  * Fixed: [Bug #10645] qt wizard: cannot specify QT path
	  (A revised patch has been applied)

2007-03-23 13:24  biplab

svn3745:  * Fixed: [Bug #10684] Irrlicht wizard does not work on
	  gentoo (A revised patch has been applied)

2007-03-23 12:43  biplab

svn3744:  * Fixed: Broken build in Linux.

2007-03-23 12:02  mandrav

svn3743:  * Enabled FLTK wizard for non-windows platforms. Also
	  added option to create either the minimal sample code or a
	  FLUID-based project.

2007-03-23 10:51  biplab

svn3742:  * Reverted changes made in last commit.

2007-03-23 08:12  biplab

svn3741:  * Now empty $(#gcv.include) will return
	  $(#gcv.base)/include/<gcv_name> in *nixes
	  * Fixed: [Bug #10645] qt wizard: cannot specify QT path and [Bug
	  #10684] Irrlicht wizard does not work on gentoo

2007-03-22 19:46  biplab

svn3740:  * Updated header missed in last commit.

2007-03-22 19:43  biplab

svn3739:  * Improved directory parsing in MSVC7+ project
	  importer. Now separators will not slip through.

2007-03-22 14:38  biplab

svn3738:  * Fixed: [Bug #10696] wrong command line for compiling
	  without build

2007-03-22 01:43  pecan

svn3737:  *CodeSnippets
	  - Honor source indentation with ApplySnippet (Thanks wxLearner)
	  - Show first level items on initial view

2007-03-21 08:22  mandrav

svn3736:  - Removed forgotten error exit code from
	  update_revision.sh.

2007-03-20 21:57  byo

svn3735:  wxSmith: Committed not yet finished work on
	  user-defined items (does not affect wxSmith's behavior)

2007-03-20 21:41  killerbot

svn3734:  * fixed a bug in warning parsing in the GNUARM
	  (precomiler warnings were stealing compiler warnings)

2007-03-20 21:01  mortenmacfly

svn3733:  * fixed bugs in MSVC loader concerning include/lib/res
	  path's with spaces

2007-03-20 15:19  biplab

svn3732:  Fixed: [Bug #10676] Code::Blocks crashed when I tried
	  to remove a file

2007-03-20 14:31  mortenmacfly

svn3731:  * MSVC loader: import base resource options, too

2007-03-19 14:46  mandrav

svn3730:  * Fixed implementation of gdb step-out command (it was
	  using a code relic from gdb 5.2 days). Fix for bug #10509.

2007-03-19 14:41  mandrav

svn3729:  * Respect include dirs set by implicitely setting the
	  options to search in project's dir and file's local dir. Should
	  help with dependency tracking, relevant to bug #10533.

2007-03-19 13:52  mandrav

svn3728:  - Platform fix.

2007-03-19 13:13  mandrav

svn3727:  * Fixed debugger mis-behaving in latest revisions.
	  Still have to check if the windows-break-running-process still
	  works though.

2007-03-19 12:42  mandrav

svn3726:  * Fixed Build->Run menu (linux).

2007-03-19 12:30  mandrav

svn3725:  - Linux build fixes.

2007-03-19 08:38  biplab

svn3724:  * Fixed: [Bug #10670] Application crash (Bug is in
	  wxAUI, not in C::B)

2007-03-19 05:42  biplab

svn3723:  * Fixed: [Bug #10666] blocked application
	  * Folder browse window in AddGenericSelectPathPage in scripted
	  wizard is now modal.
	  * Applied ([Patch #1818] Plugin templates update) in modified
	  form.

2007-03-18 21:06  mandrav

svn3722:  * Changed to run-time linking of DebugBreakProcess
	  function in debugger plugin. Should now work again under all
	  windows operating systems.

2007-03-18 15:39  biplab

svn3721:  Fixed: [Bug #10667] file properties screwed up

2007-03-17 19:29  killerbot

svn3720:  - compile fix

2007-03-17 18:53  byo

svn3719:  wxSmith: Fixed bug related to new icon loading system

2007-03-17 18:19  byo

svn3718:  wxSmith:
	  * Added wxsAutoResourceTreeImage and better icon managment in
	  resource browser
	  * added some yet unfinished classes for user-defined items

2007-03-17 16:45  byo

svn3717:  wxSmith: Fixed bug with invalid window size when used
	  menubar, toolbar or statusbar

2007-03-17 04:04  biplab

svn3716:  * Fixed: Possible crash while right clicking on
	  workspace (introduced by a fix in rev 3713)

2007-03-16 22:35  mandrav

svn3715:  * Build->Run menu works again now for windows (thx
	  wxLearner).

2007-03-16 19:39  biplab

svn3714:  * Fixed: [Bug #9457 & Bug #10638] Error at import or
	  open Dev++ project

2007-03-16 12:54  biplab

svn3713:  * Fixed: [Bug #9452] Build options coherency lack
	  * Improved context menu in Project Manager. A number of options
	  wont be enabled if the project is currently compiling.

2007-03-15 22:38  daniel2000

svn3712:  - autotools fix for make dist

2007-03-15 21:15  killerbot

svn3711:  - fix for building on linux : broken due to rev 3710

2007-03-15 16:09  thomasdenk

svn3710:  - War against macros (8)

2007-03-15 15:38  killerbot

svn3709:  - second part of fix for building on linux (broken due
	  to svn 3706)

2007-03-15 15:34  killerbot

svn3708:  - first part of fix for building on linux (broken due
	  to svn 3706)

2007-03-15 15:22  killerbot

svn3707:  - linux build fix (broken due to rev 3705)

2007-03-15 15:08  thomasdenk

svn3706:  - War against macros (7)

2007-03-15 13:06  thomasdenk

svn3705:  - War against macros (6)

2007-03-14 21:29  mortenmacfly

svn3704:  * fulfilled FR #3165 by applying patch #1900 (thanks
	  dermeister)

2007-03-14 18:34  killerbot

svn3703:  - fix previous undesired commit of this file

2007-03-14 18:30  killerbot

svn3702:  - fix linux build

2007-03-14 17:42  thomasdenk

svn3701:  - War against macros (5)

2007-03-14 17:25  biplab

svn3700:  * Updated CodeBlocks-unix.cbp file to fix compilation
	  issues in Linux.

2007-03-14 16:38  thomasdenk

svn3699:  - Fixed non-standard compliant #ifdefs

2007-03-14 16:07  thomasdenk

svn3698:  - War against macros (4)

2007-03-14 14:25  biplab

svn3697:  * Fixed MSVC 6 Project file import bug

2007-03-14 11:43  thomasdenk

svn3696:  - War against macros (3)
	  - Renamed global OS() function to WindowsVersion() which is what
	  the function really does (use platform::id or one of the other
	  platform constants to get an actual OS identifier)
	  - Removed hardcoded locale array size and the need to keep two
	  separate arrays in sync
	  - moved app globals into a namespace
	  - moved Delete template into prep.h for universal availabilty
	  (STL-aware versions stay in safedelete.h to keep compile-time
	  reasonable)

2007-03-14 08:39  mandrav

svn3695:  - Fix compilation for linux.

2007-03-14 08:30  mortenmacfly

svn3694:  * fixed "instantiated from here" parsing for GCC based
	  compilers
	  * fixed broken batch-build and DDE error due to "Project already
	  exists in workspace" message
	  - fixed interface mismatch

2007-03-13 10:06  mortenmacfly

svn3693:  - improved source code readability for batch-build
	  scripts (internal, no change of functionality)

2007-03-12 18:38  mortenmacfly

svn3692:  * fixed crash on batch builds if project file provided
	  via command line is not valid

2007-03-12 18:33  biplab

svn3691:  * Fixed: [Bug #10595] wxSlider: typo in
	  wxEVT_SCROLL_PAGEUP and in wxScrollBar

2007-03-12 16:26  thomasdenk

svn3690:  - Removed junk characters that were secretly inserted
	  by the editor (WTF?!)
	  - Replaced obsolete platforms functions in ConfigManager with
	  their respective counterparts

2007-03-12 15:56  thomasdenk

svn3689:  - War against Macros (2)
	  - Fixed --profile=ask behaviour (use of uninitialised variable)
	  - Added compiletime assert for wxWidgets version

2007-03-12 15:51  killerbot

svn3688:  * open watcom support fix for static libraries

2007-03-12 15:39  killerbot

svn3687:  - little (temporary fix) to compile on linux

2007-03-12 14:00  biplab

svn3686:  * Fixed: [Bug #10548] Item in To-Do list not going to
	  proper line

2007-03-12 12:27  thomasdenk

svn3685:  - War against Macros (1)

2007-03-12 06:34  biplab

svn3684:  * Fixed: [Bug #10387] Visual C++ project import does
	  not check cb project presence

2007-03-11 05:56  biplab

svn3683:  Console Wizard:
	  * Added MSVC 8 (aka VC 2005) support.
	  * Dropped Single-threaded CRT support for MSVC 7.1. Wizard now
	  supports Multi-threaded Static/Dynamic CRT for MSVC 7.1 & 8.
	  * Changed executable output path and small change in generated
	  cpp code.

2007-03-10 17:26  mandrav

svn3682:  * Fixed debugging issue where the debugger would not
	  jump to the breakpoint file/line when stopped for the first time
	  (although it did stop and pressing F7 resumed debugging
	  normally).
	  * Added data breakpoints (experimental). Right click on a
	  variable while debugging and choose "Add data breakpoint".

2007-03-09 17:57  biplab

svn3681:  * Fixed linking errors in wxWiz generated projects
	  against Monolithic-Static wx lib.

2007-03-09 15:44  pecan

svn3680:  KeyBinder 1.0.22 2007/03/9
	  [ Patch #1913 ] Patch Key Binder plugin for wxW28 with
	  disable_compat26

2007-03-09 08:17  biplab

svn3679:  * Fixed duplicate menu mnemonics in Find and Replace
	  dialog

2007-03-08 23:33  mandrav

svn3678:  * Added gdb support for pausing the debuggee while
	  running (thanks to kai7 for the tip).

2007-03-08 19:39  biplab

svn3677:  * Fixed: [Bug #10540] VS .Net project and the 'Exclude
	  from compilation' flag

2007-03-08 18:11  thomasdenk

svn3676:  * Call wxUpdateUIEvent::SetUpdateInterval

2007-03-08 17:26  ceniza

svn3675:  * help_plugin: added support for variable substitution
	  thru MacrosManager, not just $(keyword)

2007-03-08 15:36  biplab

svn3674:  * Bug-fix enabling unfolding of code when an
	  error/warning line is selected/highlighted

2007-03-07 16:16  biplab

svn3673:  * Fixed few duplicate menu mnemonics

2007-03-07 13:57  biplab

svn3671:  * Fixed Code-folding bug (Fold/Unfold/Toggle
	  All/{Current block} related)

2007-03-07 13:11  mandrav

svn3670:  * Debugger's tooltip evaluation re-enabled.

2007-03-06 00:10  byo

svn3669:  Added ability to dynamically create files in
	  subdirectories, unlocked creating files with unknown extensions
	  Added wxSmith support into wxWidgets wizard
	  Added missing automake files into wxWidgets wizard

2007-03-05 23:56  byo

svn3668:  wxSmith:
	  * Fixed two bugs in wxStatusBar (invalid data stored to xml files
	  / no variable length for last field)
	  * Fixed bug in tools loading code (sometimes object was used
	  after being deleted)

2007-03-05 21:21  daniel2000

svn3667:  - updated file list of spec file

2007-03-05 16:19  mortenmacfly

svn3666:  * 2nd part of fix for crash when closing project
	  options dialog

2007-03-05 13:02  biplab

svn3665:  * Fixed crash (in few cases) while closing project
	  options dialog (On behalf of Martin)

2007-03-04 11:46  killerbot

svn3664:  - include fix

2007-03-03 15:20  byo

svn3663:  wxSmith: Fixed invalid structure of bitmap node in XRC
	  when wxArtProvider was used (thanks to Mandrav)

2007-03-03 14:40  byo

svn3662:  wxSmith: Renamed "Custom class" property to "Class
	  name", it now shows used class name (previously it was empty in
	  case of using standard class), this helped fixing some bugs with
	  custom controls

2007-03-03 14:00  byo

svn3661:  wxSmith: Implemented wizard-binding function (not yet
	  tested, waiting for full support inside wizard)

2007-03-03 09:56  biplab

svn3660:  * OpenWatcom: Restored support of adding linker options
	  in project target's linker option section.
	  * wxWidgets wizard: Fixed wrong linker option for OpenWatcom in
	  project's debug target.

2007-03-02 14:26  mandrav

svn3659:  - Signed/unsigned fix in debugger sources.
	  * Fixed debugger to be able to stop a program while running
	  (linux).
	  * Debugger's tooltip evaluation is temporarily disabled.

2007-03-02 09:31  biplab

svn3658:  wxWidgets Wizard: Added OpenWatcom Support

2007-03-02 08:16  biplab

svn3657:  OpenWatcom support has been revamped

2007-03-01 11:24  mandrav

svn3656:  * Hopefully fixed mime types associations for Gnome
	  (>=2.8).

2007-03-01 00:35  byo

svn3655:  wxSmith: Added few new symbols in Codef function,
	  cleaned previous ones, updated items to use new code

2007-02-28 23:42  byo

svn3654:  wxSmith: Added missing include in wxsresourcetree.cpp

2007-02-28 21:50  pecan

svn3653:  DragScroll 1.0.39 2007/02/28
	  If exists executable folder .ini file, use it.

2007-02-28 12:17  biplab

svn3652:  Fixed: [Bug #10466] Adding new file with the same name
	  already in project

2007-02-28 11:47  thomasdenk

svn3651:  - Added unncessary overhead to saving files under
	  Windows

2007-02-28 11:39  mandrav

svn3650:  * Command line option --target now accepts "ask" as a
	  value. In this case, a target selection pops-up for the user to
	  choose the target.

2007-02-28 10:43  mandrav

svn3649:  * Batch-building improvements:
	  - Added --clean command line option (patch #1888).
	  - Don't load syntax highlighting files (not needed and
	  time-consuming).
	  - Batch build log uses the same log as in normal operation
	  (errors/warnings colouring, progress display, etc).

2007-02-27 18:18  biplab

svn3648:  * Removed deprecated compiler options from MSVC 8

2007-02-26 16:47  biplab

svn3645:  wxWidgets wizard:
	  * EVT_CLOSE has been added in all templates to ensure proper
	  exit.

2007-02-25 18:25  biplab

svn3644:  wxWidgets wizard: A PCH generation bug has been fixed

2007-02-25 18:10  biplab

svn3643:  wxWidgets Wizard:
	  * Wizard now supports creation of wxDialog / wxFrame based app.
	  * Wizard now supports wxFormBuilder to be selected as 3rd-Party
	  GUI Builder alongwith wxDialog / wxFrame option.
	  * PCH include header has been reverted to wx_pch.h and PCH
	  Pre-Processor definition is changed to WX_PRECOMP

2007-02-24 22:05  byo

svn3642:  wxSmith: Added few GPL headers I've forgot in new files

2007-02-24 21:58  byo

svn3641:  wxSmith: Fixed small bug and small typo in string
	  property (thx to Alturin)

2007-02-24 21:48  byo

svn3640:  wxSmith:
	  * Added toolbars
	  * Switched image of root item in resource browser to wxSmith's
	  logo
	  * Strings generated by bitmap property when using wxArtProvider
	  are now untranslated (as they should be)
	  * Fixed bug in Codef function (used && instead of &)

2007-02-23 23:40  pecan

svn3639:  *KeyBinder 1.0.31 2007/02/23
	  - Allow override of predefined menu accelerators set in Main.cpp
	  - Use cbKeyBinder##.ini file when in executable folder

2007-02-23 22:10  byo

svn3638:  wxSmith: Fixed bug preventing wxStdDialogButtonSizer
	  from being created. Thanks to wxLearner

2007-02-23 21:37  byo

svn3637:  wxSmith:
	  * Added wxStatusBar
	  * Replaced few communicates from _T() to _() in wxsMenuBar class
	  * Fixed handling of negative integers in Codef(...) functions

2007-02-23 21:18  byo

svn3636:  wxSmith: Fixed typo in string property

2007-02-22 18:37  byo

svn3635:  wxSmith:
	  * Added wxsResourceFactory::OnAttach /
	  wxsResourceFactory::OnRelease to make initialization /
	  destruction in proper time
	  * Added unregistering of WxsAddWxExtensions function from
	  squirrel.

2007-02-22 14:04  mandrav

svn3634:  - Applied patch #1704.

2007-02-21 20:36  byo

svn3633:  wxSmith:
	  * Updated linux prject file ( \ replaced with /)
	  * Added one more argument to wizard-helper function (name of wxs
	  file)

2007-02-21 19:40  byo

svn3632:  wxSmith: Added skeleton function used in wxWidgets
	  wizard to update wxSmith's bindings

2007-02-21 18:45  byo

svn3631:  wxSmith: Fixed handling of '\n' '\r' '\t' '\\' '_' and
	  '__' in string properties

2007-02-21 08:43  killerbot

svn3630:  - include fix (does not build on linux)

2007-02-21 00:16  byo

svn3629:  wxSmith: Switched to cbLoadBitmap when adding icons to
	  resource tree - this fixes issues with invalid background

2007-02-20 23:40  byo

svn3628:  wxSmith:
	  * Added almost all missing icons into resource browser (still
	  need some tweaking because of invalid background)
	  * wxsItem-derived classes may now set their custom icon in
	  resource browser

2007-02-20 13:47  killerbot

svn3627:  - fix a minor GUI glitch

2007-02-20 13:12  mandrav

svn3626:  - Added saving/loading of supported platforms in the
	  project level (forgot in previous commit).

2007-02-20 12:57  mandrav

svn3625:  * Added script bindings for "make" commands.
	  * Implemented setting of supported platforms for each
	  project/target. If a project/target is not supported in the
	  current platform it is excluded from the build. Another step for
	  trully cross-platform project files...

2007-02-20 10:43  mandrav

svn3624:  - Updated more projects (linux).

2007-02-20 10:36  mandrav

svn3623:  * Added a missing platform guard in symbols browser
	  builder thread mutex handling which caused a freeze under some
	  circumstances.

2007-02-20 10:32  mandrav

svn3622:  - Fix for cb_share_config to build under linux.

2007-02-20 10:10  mandrav

svn3621:  - Minor update in build progress setting-up.
	  - Fixed a warning from last commit.

2007-02-20 10:06  mandrav

svn3620:  * Added Manager::GetCmdLineParser(). Plugins now can
	  parse the command line and support their own options. For
	  documentation check wxWidgets docs on wxCmdLineParser.

2007-02-20 09:34  mandrav

svn3619:  * Added reporting of build progress. Can be enabled in
	  two modes: (1) a progress bar in the build log and/or (2) a
	  percentage at the start of each build log line. Configured in
	  global compiler options.

2007-02-19 23:00  byo

svn3618:  wxSmith: Added locking of select events in resource
	  browser - this can increase stability on Mac

2007-02-19 22:42  byo

svn3617:  wxSmith:
	  * Disabled wxMenu for resources using XRC
	  * Fixed bugs with sub-menus
	  * Changed Codef to simplified style in wxsMenuItem to test how
	  simplified version works

2007-02-19 21:09  byo

svn3616:  wxSmith: Small update to code generated when wxDialog
	  is main app resource - calls SetTopWindow() before ShowModal()

2007-02-19 00:31  byo

svn3615:  wxSmith: Missing include

2007-02-17 01:04  byo

svn3614:  wxSmith:
	  * Added icons in resource browser (still needs polishing)
	  * Committed changes to cbp which should be done in previous
	  commit (forgot to save project)

2007-02-16 23:43  byo

svn3613:  wxSmith:
	  * Added simplified version of Codef (no need to give Language
	  parameter nor add result to source code, it's done automatically)
	  * Fixed cbp file to run C::B app when running / debugging
	  * Items in resource browser contain now class name and variable
	  name if used (for standard items), for menus it show labels

2007-02-16 22:40  byo

svn3612:  wxSmith: Added "User class" property in most items -
	  this will allow using custom classes with behavior similar to
	  supported items

2007-02-16 18:57  byo

svn3611:  wxSmith:
	  * Added support for wxMenu and wxMenuBar
	  * Added block for auto-generated code in destructor of new
	  resources (it may be needed in future)
	  * Few small bug fixes

2007-02-16 15:55  biplab

svn3610:  wxWidgets Wizard: Added support to compile debug target
	  with release lib of wxWidgets and other minor code changes

2007-02-15 15:43  mortenmacfly

svn3609:  - include fixes for compiler not supporting PCH

2007-02-15 12:19  mandrav

svn3608:  * Backup files created during saving are now deleted
	  again.

2007-02-14 15:36  mortenmacfly

svn3607:  - updated doxygen file to new path layout (and
	  version), removed zombies

2007-02-14 15:30  tiwag

svn3606:  - ANSI_BUILD_cb-projectfiles.patch removed

2007-02-14 11:40  killerbot

svn3605:  - Makefile.am fix

2007-02-14 08:55  biplab

svn3604:  C::B Plugin Wizard: Updated to conform with latest
	  directory layout changes

2007-02-14 08:06  mortenmacfly

svn3603:  * another massive projects files update (clean-up)
	  * warning: before another build it's best to remove all *.gch,
	  *.o, *.a files, the "devel" folder and do a re-build (object
	  files have moved completely into .objs folder)

2007-02-13 18:32  mandrav

svn3602:  - Updated project files.

2007-02-13 12:59  mandrav

svn3601:  - Fixed hang/crash on exit which "moved" to the windows
	  platform.

2007-02-13 11:53  mandrav

svn3600:  - Updated project files.

2007-02-13 11:34  mandrav

svn3599:  - Updated project file.

2007-02-13 11:31  mandrav

svn3598:  * Fixed hang/crash on exit (observed in linux).

2007-02-13 11:28  mandrav

svn3597:  * Directories layout restructuring part two
	  (completed).

2007-02-12 23:30  byo

svn3596:  wxSmith: Fixed bug in wxBitmapButton with invalid code
	  generated in some cases, found by manianis

2007-02-12 15:30  mandrav

svn3595:  - Updated a couple of windows project files for the new
	  directories layout.

2007-02-12 14:55  mandrav

svn3594:  * First part of directories layout re-organization:
	  moved all sdk header files to a new dir named "include".

2007-02-12 08:19  biplab

svn3593:  * wxWidgets Wizard: Added support for wxWidgets 2.9.x
	  (CVS Branch)
	  * wxWidgets Wizard: Added PCH support for GCC in Linux

2007-02-10 13:44  byo

svn3592:  wxSmith: Implemented first working menu editor (wxMenu
	  and wxMenuBar should be ready in next commit to show this editor
	  working)

2007-02-09 17:50  biplab

svn3591:  * Console Wizard: Bug fix regarding selection of
	  threaded library (for MSVC 7.1)

2007-02-09 09:19  mandrav

svn3590:  - Fix for Lightfeather structured wizard.

2007-02-08 13:32  mandrav

svn3589:  * Bug-fix for wizard generated files added to project
	  w/out checking if they are part of the project already.

2007-02-08 10:13  mandrav

svn3588:  * File->Properties now works for files not belonging to
	  a project too.

2007-02-08 01:29  byo

svn3587:  wxSmith: ... still working on menu editor ... menu
	  items not enabled yet

2007-02-07 13:53  mandrav

svn3586:  - Updated annoying dialog to respect platform-specific
	  UI guidelines regarding buttons placement.

2007-02-07 12:37  mandrav

svn3585:  * Added auto-expand-namespaces option for symbols
	  browser.

2007-02-07 11:42  mandrav

svn3584:  * Fixed symbols browser's current-file mode (correctly
	  sync with active editor).

2007-02-07 11:04  killerbot

svn3583:  - OK/Cancel buttons order fix (so they are behaving
	  nicely on linux)

2007-02-07 10:50  mandrav

svn3582:  * Fixed crash when switching editors and symbols
	  browser was in current-file-symbols mode (noticed in linux).

2007-02-07 09:51  mandrav

svn3581:  * Fixed symbols browser context menu entry "Re-parse
	  now" to work correctly.

2007-02-07 09:40  mandrav

svn3580:  * Symbols browser now remembers the splitter position.

2007-02-07 09:00  mandrav

svn3579:  - Minor bug-fix in script function
	  IO.ExecuteAndGetOutput().

2007-02-07 01:08  byo

svn3578:  wxSmith: partial implementation of wxsMenuEditor class
	  (menu items not enabled yet in RAD)

2007-02-06 16:23  biplab

svn3577:  wxWidgets Wizard: Removed wrong wizard message

2007-02-06 12:19  mandrav

svn3576:  * Fixed bug with losing syntax highlighting changes
	  when editing lexer keywords.

2007-02-06 11:45  mandrav

svn3575:  * Fixed saving/loading compiler regular expressions
	  bug.

2007-02-06 09:48  biplab

svn3574:  * wxWidgets Wizard: Redesigned wx configuration
	  selection page (Unix)

2007-02-06 01:28  byo

svn3573:  wxSmith (no change in behavior):
	  * Commited some changes related to menu editor (new items not yet
	  finished so they're disabled now)
	  * Added wxsItem::CanAddToParent, now possibility to add child is
	  checked from parent's and child's point of view
	  * Base class for wxsTool switched from wxsItem to wxsParent,
	  tools can now have child items

2007-02-05 22:34  byo

svn3572:  Small fix to wxsNotebook
	  Added wxWANT_CHARS to wxPanel's styles

2007-02-05 17:14  mortenmacfly

svn3571:  - minor SDK documentation fixes

2007-02-05 14:40  biplab

svn3570:  wxWidgets Wizard: Wx lib configurations are now
	  conveniently grouped (Win)

2007-02-05 10:34  mandrav

svn3569:  * Replaced wxNotebook with wxChoicebook in some dialogs
	  to avoid nested notebooks (which look ugly) and also make them
	  simpler (although functionality has not changed).

2007-02-05 06:57  biplab

svn3568:  * Console Wizard: Bug introduced in last revision
	  fixed.

2007-02-05 05:58  biplab

svn3567:  * Console Wizard: Compilation Errors Fixed for MSVC 7.1
	  * Console Wizard: Options to select CRT type for MSVC 7.1

2007-02-03 22:55  killerbot

svn3566:  * fix qt template/project : should be :
	  libQtAssistantClient4.a

2007-02-03 15:10  biplab

svn3565:  * Bug fix restoring PCH support for GCC in wxWidgets
	  wizard
	  * Custom PCH header addition support added for Empty Projects

2007-02-02 23:02  daniel2000

svn3564:  - fixed undefined datarootdir in codeblocks.pc

2007-02-02 21:24  byo

svn3563:  wxSmith: Fixed bug in events editor

2007-02-02 13:52  biplab

svn3562:  * Warning message added in wxWidgets Project wizard for
	  Empty Projects with PCH support
	  * Some code-cleanup

2007-02-02 11:58  mandrav

svn3561:  * Fixed bug with resource files not being compiled, in
	  some cases.

2007-02-01 20:10  byo

svn3560:  wxSmith: fixed bug in wxWidgetsGUIAppAdoptingDlg (file
	  selector used wxOPEN instead of wxSAVE)

2007-02-01 15:41  ceniza

svn3559:  * AStyle plugin: don't try to format a file that is
	  read-only.

2007-01-31 17:22  mandrav

svn3558:  * Fixed a few problems with spaces in paths (including
	  the resource compiler issue).

2007-01-31 11:28  mandrav

svn3557:  * Editor syntax highlighting settings are now only
	  saved if different than defaults.
	  * Fixed "Reset" button functionality in editor syntax
	  highlighting page.

2007-01-31 10:37  mandrav

svn3556:  * Added compiler settings versioning so when compiler
	  defaults are changed the user is notified and given the chance to
	  update to the newer settings.
	  * Compilers now save only the settings that differ from the
	  default values. This leads to smaller configuration file.

2007-01-30 22:09  byo

svn3555:  wxSmith:
	  * Added wxsItem::IsPointer to check whether given item should be
	  represented as pointer or as object in destination classes
	  * wxTimer is now created as object, not pointer. This prevented
	  memory leaks in destination classes
	  * Added wxsItem::GetAccessPrefix and wxsItem::GetCreatePrefix and
	  wxsItem::Codef (Printf-like function which helped to simplify
	  process of creating source code)
	  * Converted all current items to support new code-generation
	  scheme

2007-01-30 19:18  killerbot

svn3554:  * fixes for regex-es/patterns of the supported
	  compilers for parsing warnings/errors

2007-01-30 15:10  mandrav

svn3553:  * Updated wxWidgets project wizard to Biplab's version.
	  * Added Lightfeather project wizard.

2007-01-30 09:33  mandrav

svn3552:  * Fixed refresh bug in "new from template" dialog, when
	  the user switched the list appearence from icons to list and
	  vice-versa (linux).

2007-01-29 21:57  killerbot

svn3551:  - yet another fix to projectloader

2007-01-29 19:32  killerbot

svn3550:  * fixed the project loading/saving bug introduced in a
	  previous commit

2007-01-29 16:49  thomasdenk

svn3549:  - committed scrooge src

2007-01-29 15:15  mandrav

svn3548:  * Virtual folders now display correctly even in
	  different platforms than the one they were created in.
	  * Include() and Require() script functions now look in the
	  current script's directory too.

2007-01-29 10:17  thomasdenk

svn3547:  - minor cleanup in util func: use default arguments,
	  slightly prettier code

2007-01-29 10:13  thomasdenk

svn3546:  - reordered members in app object (struct align)
	  - added commandline scripting switch

2007-01-27 19:05  daniel2000

svn3545:  - better tar format detection (make dist only works
	  with automake 1.9+, rest also with 1.7+)
	  - gentoo specific bootstrap fixes

2007-01-27 15:39  byo

svn3544:  wxSmith:
	  * Added support for tool items
	  * Added wxTimer support to demonstrate tools (it's available only
	  in source edit mode since timers are not handled in XRC format)
	  * Added wxsItemInfo::AllowInXRC defining whether given item is
	  supported by XRC format
	  * Added wxsItem::IsRootItem checking whether item is root item of
	  resource (and for example can not have custom variable)
	  * Updated code of currently supported items to use
	  wxsItem::IsRootItem instead of wxsItem::GetParent (make code more
	  stable and extensible)

2007-01-27 00:25  daniel2000

svn3543:  - little fix in autorevision (in revision comparison
	  with existing autorevision.h)
	  - little autotools fix (make dist failed)
	  - applied patch #1604 (thanks afb)

2007-01-26 14:01  mandrav

svn3542:  * Added encoding detection for files loading
	  (brute-force).
	  * Greatly improved C++ parser's parsing time (~70-90% decrease).

2007-01-25 17:21  killerbot

svn3541:  - little improvement in find implementation/declaration
	  (avoid showing selection dialog when we fall back to 1 entry in
	  the selection list)

2007-01-25 13:20  mandrav

svn3540:  - Added rule to install the manifest.xml file for
	  plugins generated by the wizard.

2007-01-24 16:55  byo

svn3539:  Fixed bug causing hang-up when converting projects not
	  using wxSmith before

2007-01-24 09:23  mandrav

svn3538:  * Fixed bug with editor windows not resizing when
	  changing the size of other docked windows (linux platform).

2007-01-24 09:16  mandrav

svn3537:  * Fixed syntax highlighting when editor is in
	  split-view mode.

2007-01-23 22:56  byo

svn3536:  wxSmith:
	  * Added all standard events (can handle paint, mouse and keyboard
	  events right from wxDialog/wxFrame/wxPanel)
	  * Fixed few bugs in events system

2007-01-23 21:03  pecan

svn3535:  *KeyBinder 1.0.19 2007/01/23
	  - Fixed: CB Plugins->ManagePlugins->disable plugin is completely
	  re-building the menuBar at another address causing Keybinder to
	  crash.
	  - Fixed: CB Plugins->ManagePlugins->disable plugin is re-entering
	  KeyBinders BuildMenu() routine causing crashes.
	  - Re-initialize KeyBinder on next OnEditorOpen() when re-enabled
	  by Plugin Manager.

2007-01-23 20:11  byo

svn3534:  Added wxSmith's configuration to project options dialog

2007-01-23 19:49  byo

svn3533:  Better support for not yet converted/unknown parts of
	  wxSmith configuration in cbp file

2007-01-23 12:47  killerbot

svn3532:  * Compiler Validation Check : also tries without
	  appending 'bin' + macro replacing occurs

2007-01-23 11:46  mandrav

svn3531:  * Added "read from system theme" button in notebook
	  color settings
	  (environment options).
	  * Fixed bad colouring of build log when using a dark system
	  theme.

2007-01-23 09:51  mandrav

svn3530:  - Missing include.

2007-01-22 23:30  byo

svn3529:  Added wxInitAllImageHandlers() to application
	  initialization code

2007-01-22 23:18  byo

svn3528:  Fixed two bugs when converting from old wxSmith:
	  * Invalid positioning of //(*InternalHeaders block
	  * Doubled //(*InternalHeaders and //(*IdInit blocks
	  
	  Updated wxSmith's projects to use new wxSmith :)

2007-01-22 10:54  mandrav

svn3527:  * Added missing or misplaced "event.Skip()" in wxSmith
	  event handlers (caused code-completion - among others - to *not*
	  run).

2007-01-21 00:19  byo

svn3526:  Fixed linux build

2007-01-20 23:07  byo

svn3525:  Fixed Makefile.am

2007-01-20 22:48  killerbot

svn3524:  - include fix

2007-01-20 22:24  mandrav

svn3523:  * Updated configure.in for new wxSmith directory
	  structure.

2007-01-20 20:46  byo

svn3522:  Step 2 of merging new wxSmith into trunk: copying code
	  from New_wxSmith branch

2007-01-20 20:38  byo

svn3521:  Step 1 of merging new wxSmith into trunk: removing old
	  code

2007-01-20 16:46  killerbot

svn3517:  * Use Full Path for source files (GDB workaround)
	  option added :
	  - is an advanced compiler option
	  - default is false (means use relative paths) (this is the
	  suggested value)
	  - the CB builtin MinGW GCC support has this value as true (use
	  full path for the sources when hand over to the GCC compiler, so
	  GDB can do a better breakpoint job)

2007-01-20 02:00  pecan

svn3515:  - KeyBinder 1.0.16 2007/01/19
	  - Fixed missing *nix menu mnemonics

2007-01-19 20:44  killerbot

svn3510:  - updated linux project files for the contrib plug-ins

2007-01-19 20:39  killerbot

svn3509:  - updated linux project filesfor the contrib plug-ins

2007-01-19 19:24  killerbot

svn3508:  - fix to build on linux 64-bit

2007-01-19 12:07  mandrav

svn3507:  * Added Compiler::IsValid() function (reports if a
	  compiler is actually installed and available).
	  * SDK version is now 1.11.8.

2007-01-19 09:10  mandrav

svn3506:  * Added Require() script function. Similar to Include()
	  except that
	  Require() raises an error if the file can't be opened.
	  - Updated unix project file.

2007-01-18 19:24  pecan

svn3505:  - KeyBinder 1.0.15 2007/01/18
	  - Fixed Empty profile written when CB re-enables KeyBinder and no
	  events occur before shutdown.

2007-01-18 14:45  mandrav

svn3504:  * Added three new script functions for wizard scripts:
	  SetCompilerDefault, SetDebugTargetDefaults and
	  SetReleaseTargetDefaults. To be used when no compiler page is
	  added to the wizard.

2007-01-18 14:00  mandrav

svn3503:  - Updated cbLoadBitmap() to work with VFS.

2007-01-17 22:37  mandrav

svn3502:  * Debugger now uses absolute filenames to set
	  breakpoints.
	  * Fixed a couple of debugger regressions caused by patch #1814.

2007-01-17 22:26  mandrav

svn3501:  - Added new event: cbEVT_PROJECT_RENAMED (SDK version
	  -> 1.11.7).

2007-01-17 15:55  killerbot

svn3500:  - fix to build on linux 64 bit

2007-01-17 14:47  mandrav

svn3499:  * Added per-project debugger options (in
	  Project->Properties). Not yet fully functional.
	  * Added script bindings for some utility dialogs
	  (EditArrayFileDlg, EditArrayOrderDlg, EditArrayStringDlg,
	  EditPairDlg, EditPathDlg and GenericMultiLineNotesDlg).

2007-01-17 11:59  mortenmacfly

svn3498:  * fix for the fix in r3497: moved QuoteStringIfNeeded
	  to directcommand because otherwise it breaks stuff

2007-01-17 09:21  mortenmacfly

svn3497:  * fixed "path without quotation" bug introduced with
	  using absolute source filenames for compiling

2007-01-16 17:06  ceniza

svn3496:  - Removed trailing \n from the update script

2007-01-15 14:54  ceniza

svn3495:  - Minor adjustment to the update in revision 3490 (DDE
	  related).

2007-01-15 13:49  mortenmacfly

svn3494:  - fixed compilation errors with non-pch capable (gcc)
	  compilers

2007-01-15 12:57  mandrav

svn3493:  * Added preprocessor guard around call to
	  wxXmlResource::Unload() in sc_dialog.cpp.

2007-01-15 11:30  mandrav

svn3492:  * Fixed wrong PCH generated path when project file
	  resides in a subdirectory.

2007-01-15 10:47  mandrav

svn3491:  * Compiler now uses absolute source filenames when
	  compiling. This makes it easy for gdb to locate source files
	  (when putting breakpoints in out-of-project files).

2007-01-15 10:39  killerbot

svn3490:  - update to previous commit

2007-01-15 10:35  killerbot

svn3489:  - adjustment to previous workaround for
	  DDE/codecompletion issue (had bad influence on linux)

2007-01-15 09:55  thomasdenk

svn3488:  - Zero-initialise rectangle

2007-01-15 09:44  mandrav

svn3487:  * Fixed broken build of sc_dialog.cpp for 64bit CPUs.
	  * Added more error checking in ShowDialog() script function.

2007-01-15 09:42  thomasdenk

svn3486:  - Added $file_basename var

2007-01-15 08:26  thomasdenk

svn3485:  * Runtime check for missing NT4 API

2007-01-14 15:46  ceniza

svn3484:  * Workaround to fix the strange DDE bug caused by
	  CodeCompletion.

2007-01-13 16:29  pecan

svn3482:  -KeyBinder 1.0.14 2007/01/13
	  - Applied patch 1821 by DerMeister
	  - This patch fixes a crash in the *nix keybinder plugin.
	  - See http://forums.codeblocks.org/index.php?topic=4927.0 for
	  more information. -
	  https://developer.berlios.de/patch/index.php?func=detailpatch&patch_id=1821&group_id=5358
	  - Thank you DerMeister

2007-01-12 13:20  killerbot

svn3480:  * correct 'replace' icon for 22*22

2007-01-11 18:44  mortenmacfly

svn3478:  - do not generate a sub-menu for cbScriptPlugins if
	  there is only one entry
	  * couple of D compiler related fixes/improvements in wizard and
	  compiler settings

2007-01-10 22:53  mortenmacfly

svn3476:  - added new file filter and type for script files
	  - exported new file filter to script bindings
	  - added new file groups/masks: "D Sources" and "Fortran Sources"
	  (for better separation in multi-compiler projects)

2007-01-10 12:59  mandrav

svn3474:  * Applied patch for gdb breakpoints (patch #1814).
	  Hopefully it will work in most cases.

2007-01-10 12:32  killerbot

svn3473:  - removed xpmanifest files from unix cbp

2007-01-10 11:55  killerbot

svn3472:  - removed extra qualifier

2007-01-10 10:43  mandrav

svn3471:  * Updated all windows project files of contrib plugins.
	  - Really updated main windows project file now.

2007-01-10 10:15  mandrav

svn3470:  * Fixed code parser tokenizer bug with concatenation of
	  strings ("" "").

2007-01-10 09:35  mandrav

svn3469:  * Scripting updates:
	  - GetScriptingManager().RegisterScriptMenu() signature changed
	  from (scriptFile, menuPath) to (menuPath, scriptFileOrFunction,
	  (bool)isFunction). This allows registering a script-function
	  (instead of a full script) to a menu. Useful, for example, to
	  create a single script with various functions and bind them all
	  to menus.
	  - Added IO.GetCwd() and IO.SetCwd() to get/set the working
	  directory.
	  - Added ProgressDialog script class. Use its single member
	  function Update(value, message) to update it. Value must always
	  lie in the 0-100 range.
	  - Added ShowDialog(xrcFile, dlgName, callbackFunc) function to
	  load and display a dialog from XRC. The callbackFunc is a script
	  function you define to handle all click-events. While in a
	  ShowDialog() call, there are also the EndModal(retCode) and
	  XRCID(controlName) functions available.
	  - Wiki docs soon to be updated.
	  * Main project file (windows) updated to new format.

2007-01-10 09:21  killerbot

svn3468:  * UseFlatObjects setting now also saved in the conf
	  - header cleanup/fixes
	  - 2 methods from member to regular functions
	  - put some code already in place for later on implementing full
	  IsDirty mechanism

2007-01-09 14:17  mortenmacfly

svn3467:  - fixed two zombies

2007-01-08 12:03  mandrav

svn3466:  * Fixed wrong object name generation for windows
	  resource scripts (RC).

2007-01-07 21:24  killerbot

svn3465:  - correct the encoding of some xrc's (all should be
	  "utf-8")

2007-01-07 17:27  killerbot

svn3464:  - added event.Skip() to startup event handler of cc
	  plugin

2007-01-06 22:02  killerbot

svn3463:  * bug fix : [ Bug #9850 ] "build/select target" does
	  not update "Build target" combo

2007-01-06 12:20  daniel2000

svn3462:  - typo in spec file's changelog (it's already 2007 ;-))

2007-01-05 18:18  daniel2000

svn3461:  - changed prefix in spec file for suse (now /usr)
	  - edit_startup_script.script wasn't installed by make

2007-01-05 13:18  killerbot

svn3460:  * added support for flat objects usage :
	  - "compiler" switch : Advanced compiler options : Other :
	  UseFlatObjects
	  - the object file will be create directly under the object output
	  dir, no subdirs reflecting the source tree
	  * compiler switches structure now has constructor : several
	  switches never got initialized in the different compilers

2007-01-04 15:02  mandrav

svn3459:  - Bug-fix for object names generation in multi-compiler
	  projects.

2007-01-04 13:47  mandrav

svn3458:  * Added per-project notes (accessible through project
	  properties or menu "Project->Notes"). There is also the option to
	  display the project's notes on-load (useful if you want to share
	  your project with others and want to embed some usage/setup
	  instructions, for example).
	  * New project option for generating object filenames. Currently
	  C::B generates a file's filename by replacing its extension with
	  the object extension (e.g. foo.cpp ->foo.o). This option allows
	  you instead to append the object extension (e.g. foo.cpp ->
	  foo.cpp.o). Useful for projects with compileable files having the
	  same name (and in the same directory) but different extensions.
	  * Added new generic dialog containing a multiline text box
	  (read-only or editable; controlled by the constructor). Used for
	  project notes currently.
	  * Project file version upgraded to 1.6. Differences from 1.5
	  include changes to accommodate the above new features plus that
	  now only values that differ from the defaults are saved (so
	  project files are smaller now).
	  * SDK version pumped to 1.11.6.
	  - Script bindings updates for the new cbProject methods.
	  - Minor fix in annoying dialog: left-top alignment for icon.

2007-01-04 13:35  mandrav

svn3457:  * Code-completion:
	  - bug-fix for duplicate C/C++ parser dirs per-project.
	  - improve code-completion wrt namespace members.

2007-01-04 13:20  artoj

svn3456:  Code snippets 0.3.2:
	  - Keep all whitespace in snippets
	  - Respect GNOME HIG in Edit snippet dialog (wxGTK)
	  - To prevent data loss if the codesnippets.xml is not valid XML,
	  the file is now backupped if loading it failed

2007-01-03 11:59  mandrav

svn3455:  * Fixed broken code-completion for enumerators.

2007-01-03 11:47  killerbot

svn3454:  * applied [ Patch #1794 ] Fix corruption of current
	  layout name

2007-01-03 11:42  artoj

svn3453:  Code snippets 0.3.1:
	  * Improved accessibility in Edit snippet dialog
	  * Added snippet tool tips; disabled by default in wxWidgets 2.6.
	  Windows only (limitations in wxWidgets).

2007-01-02 18:16  mortenmacfly

svn3452:  * fixed several crashes with disabled compiler plugin

2007-01-02 17:59  artoj

svn3451:  Code snippets 0.3:
	  * Added Edit snippet dialog
	  * Added macro functionality similar to editor's autocomplete
	  * The images are now correctly loaded when using the plugin from
	  the user's plugin directory

2007-01-02 13:21  mandrav

svn3450:  * Fixed crash when enabling/disabling plugins - even
	  wxSmith can be enabled/disabled now :).

2007-01-02 11:02  killerbot

svn3449:  - applied [ Patch #1731 ] scripting patch for wxWidgets
	  2.8

2007-01-02 10:46  killerbot

svn3448:  - SelectTargetDlg : removed the double click option :
	  explicitly click OK button [eliminates a wx28 difference]

2007-01-01 22:06  pecan

svn3447:  - DragScroll 1.0.37 2007/01/1
	  - Re-instated GTK wxTextCtrl y-axis scrolling; GTK cannot scroll
	  a wxListCtrl
	  - Corrected GTK dialog layout

2007-01-01 10:55  mandrav

svn3446:  * Fixed locating build scripts when using
	  absolute/relative pathnames (also handle variables now in script
	  filenames).
	  * Added build scripts related functions in script bindings for
	  CompileOptionsBase.

2006-12-31 10:56  killerbot

svn3445:  - applied : [ Patch #1779 ] Autosave the layout as well
	  as the project

2006-12-30 16:51  killerbot

svn3444:  * applied [ Patch #1774 ] Plugin disable Crash issue
	  partial fix

2006-12-30 16:21  pecan

svn3443:  * KeyBinder 1.0.13 2006/12/30
	  - Re-instated 2.6.2 version of RebuildMenuItem() with TimS
	  additions to solve missing menu icon problem (wx2.6.2 dejavu).
	  - Completely rebuilds menuItems with changed shortcut and a
	  bitmapped icon.
	  - Works with wx2.6.3 w/fixes and wx2.8.0 according to TimS.

2006-12-30 01:22  pecan

svn3442:  KeyBinder 1.0.12 2006/12/29
	  - Fixed secondary profile keys leaking into primary profile
	  - Fixed inability to delete secondary profiles
	  - fixed inability to delete defined keys

2006-12-29 22:31  killerbot

svn3441:  * bug fix for double clicking cbp/workspace files in
	  linux [http://forums.codeblocks.org/index.php?topic=4810.0]

2006-12-29 21:03  pecan

svn3440:  -KeyBinder 1.0.11 2006/12/29
	  - remove case stmts for wx2.8.0

2006-12-29 20:10  pecan

svn3439:  -KeyBinder 1.0.10 2006/12/29
	  - redefine WXK_PRIOR and WXK_NEXT for wx2.8.0 allowing expected
	  code behavior for PgUp/PgDn/Prior/Next/NumPad keys etc.

2006-12-28 15:36  pecan

svn3438:  -KeyBinder Revert patch1732 until I can support wx2.8.x

2006-12-27 21:58  killerbot

svn3437:  - applied [ Patch #1767 ] Old wxSmith patch for
	  wxWidgets 2.8

2006-12-27 18:12  killerbot

svn3436:  * applied [ Patch #1741 ] Save advanced compiler
	  options "Supports PCH" and "PCH ext."

2006-12-27 15:43  killerbot

svn3435:  - minor update for a previous commit

2006-12-27 13:12  killerbot

svn3434:  - applied [ Patch #1730 ] wxaui patch for wxWidgets 2.8

2006-12-27 13:02  killerbot

svn3433:  - applied [ Patch #1762 ] CentreOnScreen patch for
	  wxWidgets 2.8

2006-12-27 12:53  killerbot

svn3432:  - update to previous commit (ctrl-s and ctrl didn't do
	  its job --> s)

2006-12-27 12:52  killerbot

svn3431:  - applied [ Patch #1739 ] wxPropertyGrid patch for
	  wxWidgets 2.8

2006-12-27 12:33  killerbot

svn3430:  - applied [ Patch #1735 ] pipedprocess patch for
	  wxWidgets 2.8

2006-12-27 11:14  killerbot

svn3429:  - applied [ Patch #1734 ] ScintillaWX patch for
	  wxWidgets 2.8

2006-12-27 10:50  killerbot

svn3428:  - applied [ Patch #1732 ] keybinder plugin patches for
	  wxWidgets 2.8

2006-12-27 10:41  killerbot

svn3427:  - applied [ Patch #1736 ] compilergcc patch for
	  wxWidgets 2.8

2006-12-26 21:27  killerbot

svn3426:  - update to previous commit

2006-12-26 19:12  killerbot

svn3425:  - applied [ Patch #1738 ] wxHIDE_READONLY patch for
	  wxWidgets 2.8

2006-12-25 08:58  killerbot

svn3424:  - hopefully made it executable

2006-12-25 08:57  killerbot

svn3423:  - hopefully made it executable

2006-12-24 21:41  killerbot

svn3422:  -update executable

2006-12-24 11:21  killerbot

svn3421:  * applied [ Patch #1752 ] Fix fur Bug #9668

2006-12-24 11:00  killerbot

svn3420:  * applied [ Patch #1750 ] Window layout

2006-12-22 22:38  killerbot

svn3415:  * openwatcom support : add to compiler/linker the -q
	  option so the verbose banner is no longer shown

2006-12-22 20:59  pecan

svn3414:  *DragScroll 1.0.36 2006/12/22
	  - Added focus follows mouse option for wxGTK
	  - Fixed GTK RightMouse scrolling (avoiding Context Menu
	  conflicts)
	  - Added slider allowing user to set GTK RightMouse
	  scrolling/context menu sensitivity (millisecs waited for mouse
	  movement before Skip()ing for Context Menu.

2006-12-22 12:42  mandrav

svn3413:  * Implemented "security" page in scripting settings.

2006-12-20 17:02  tiwag

svn3407:  - $(#wx) cleanup in unix project files

2006-12-20 15:29  afb

svn3406:  - missing include for sdk_precomp.h directory

2006-12-20 13:38  mandrav

svn3405:  * If a compiler executable is not set, skip the files
	  that need it (logging it) instead of producing erroneous command
	  lines.

2006-12-20 11:51  mandrav

svn3404:  * Updated OGRE wizard for Dagon (1.2.4). Not using
	  STLPort anymore.

2006-12-20 08:38  thomasdenk

svn3403:  * Corrected match indices for %%

2006-12-20 02:06  pecan

svn3402:  * DragScroll 1.0.33 2006/12/19
	  - Removed dependency on EVT_APP_STARTUP_DONE
	  - Converted all EOL to native

2006-12-19 22:56  pecan

svn3401:  -KeyBinder 1.0.9 2006/12/19
	  -Set all EOL to dos ala TimS instructions & {svn propset
	  eol-style native" *.h and *.cpp (Thanks Tim)

2006-12-19 21:16  pecan

svn3399:  *KeyBinder 1.0.8 2006/12/19
	  - Removed dependency on event EVT_APP_STARTUP_DONE to avoid
	  "uninitialized state" crashes

2006-12-19 14:17  mandrav

svn3398:  * Code-completion now recognizes compiler search
	  directories set from build scripts.

2006-12-19 13:38  mandrav

svn3397:  - Minor fixes with regard to build scripts.

2006-12-18 13:13  mandrav

svn3395:  * Added scripting settings dialog
	  (Settings->Scripting).

2006-12-18 09:14  mandrav

svn3394:  * Fixed compilers detection occurring on every startup.
	  - Enlarged the compilers auto-detection dialog a bit and also
	  made it resizeable.

2006-12-17 22:40  mandrav

svn3393:  * Scripting bindings updated:
	  - Added wxColour type.
	  - Added few utility globals functions: wxLaunchDefaultBrowser,
	  wxGetColourFromUser, wxGetNumberFromUser, wxGetPasswordFromUser,
	  wxGetTextFromUser.
	  - Added ProjectFile::file variable.

2006-12-15 19:11  mandrav

svn3387:  - Fixed debugger build problem in linux.

2006-12-15 18:28  pecan

svn3386:  * KeyBinder 1.0.6 2006/12/14
	  - Added code to remove stale dynamic menu items
	  - Invoke MergeDynamicMenu() & OnSave() at plugin termination
	  - In IsValidKeyComb() changed '+' to '-'
	  - Disallowed single key cmdStrings in
	  wxKeyMonitorTextCtrl::OnKey()
	  - Reduced size of .ini fileName
	  - Fixed broken OnKey() to accept function keys w/o Ctrl- Alt- or
	  Shift-
	  - Minor preparations for KeyMacs support

2006-12-15 13:55  mandrav

svn3385:  * Script functions IO.CreateDir and IO.RemoveDir
	  renamed to IO.CreateDirectory and IO.RemoveDirectory.

2006-12-15 12:09  mandrav

svn3384:  * Respect OS theme's window background colour in the
	  compiler's build log.

2006-12-15 12:01  mandrav

svn3383:  * Last commit message was missing some debugger
	  updates: mainly GDB support for Cygwin-based executables and cpu
	  registers reading improvements.

2006-12-15 11:58  mandrav

svn3382:  * SDK version pumped to 1.11.5.
	  * Added support for the Cygwin compiler.
	  * Implemented wxCrc32::FromFile().
	  * Improved MenuItemsManager.
	  * Major scripting update:
	  - startup.script does not need a main() function now.
	  - The recently added 'App' script object provides no functions
	  now (those that it used to provide have been moved elsewhere).
	  - Script plugins support (yes, plugins that are actually
	  scripts).
	  - Enabled "dangerous" script-bound functions in IO script
	  namespace providing the following operations: copy, write,
	  delete, rename and execute.
	  - Added security layer for the above "dangerous" IO functions.
	  - Provided sample script that adds "Settings->Edit startup
	  script" menu.
	  - Provided sample (pointless) script plugin (disabled by
	  default).
	  - Wiki documentation currently being updated for all of the above
	  changes.

2006-12-15 09:27  killerbot

svn3381:  - minor update to previous commit, a member got lost
	  somehow

2006-12-15 09:05  killerbot

svn3380:  * applying patch 1715 (fixing bugs 009641 and 009247
	  and 006965)

2006-12-13 12:45  thomasdenk

svn3374:  - Revamped regex plugin

2006-12-12 19:17  mortenmacfly

svn3370:  * added LaTeX lexer (not very well supported by
	  scintilla)

2006-12-12 13:38  thomasdenk

svn3369:  - Actually, on a second thought, add it to normal vars,
	  too

2006-12-12 13:28  thomasdenk

svn3368:  - Added multiline matching to regex on $if() and [[ ]]

2006-12-11 18:00  mortenmacfly

svn3365:  * fixed bugs in static and dynamic lib wizards
	  complaining unable to set compiler flags

2006-12-11 10:40  mandrav

svn3364:  * Fixed getVar() bug with App.RegisterScript() calls.

2006-12-10 15:48  mandrav

svn3363:  * Added script binding for the application object
	  (named "App").
	  * Added ability to register scripts to menus (wiki article will
	  follow soon).
	  - Updated ScriptingManager to try loading scripts from both
	  global and user dirs (old behaviour was searching in global dirs
	  only).
	  - Minor cleanup.

2006-12-08 10:22  mandrav

svn3359:  * Added script bindings for wxFileName.

2006-12-08 08:37  mandrav

svn3358:  - Script binding for wxString now takes care of
	  auto-converting it to char* when needed (e.g. print(str) will now
	  print the string, not its memory address).

2006-12-07 19:24  daniel2000

svn3355:  - added missing include dir to Makefile of tinyxml
	  (wxscintilla)

2006-12-07 17:41  thomasdenk

svn3354:  * Switch encoding on illegal characters

2006-12-07 13:49  thomasdenk

svn3353:  - Removed unneeded +wx flag from SDK pre-build steps

2006-12-07 12:30  thomasdenk

svn3352:  - Changed .cbBack extension to .backup for clarity
	  - Fixed wxRenameFile condition
	  - Removed a few comments

2006-12-07 10:34  mandrav

svn3350:  - Minor fixes in debugger (more info messages regarding
	  breakpoints and a hack for cygwin paths (/cygdrive/C)).

2006-12-06 22:32  mortenmacfly

svn3349:  * fixed several crashes with disabled compiler plugin
	  - removed unnised variable

2006-12-06 19:33  killerbot

svn3347:  * [ Patch #1687 ] Typo in env settings

2006-12-06 19:30  killerbot

svn3346:  * [ Patch #1693 ] EditorBase bug fix (google code
	  search)

2006-12-06 16:06  mortenmacfly

svn3344:  - couple of fixes for non-PCH capable compilers

2006-12-06 15:06  mandrav

svn3343:  * Added static cbEditor::ApplyStyles() function to
	  apply the default editor settings to any (possibly foreign)
	  scintilla control.

2006-12-06 11:22  mandrav

svn3342:  * Added new advanced compiler option: "Force use of
	  forward slash as path separator". This allows adding compilers
	  like cygwin or uniwin.

2006-12-05 21:43  mortenmacfly

svn3340:  - removed duplicate code in filemanager core

2006-12-04 23:03  killerbot

svn3338:  - include fix

2006-12-04 20:05  mortenmacfly

svn3337:  * fixed crash when opening a workspace (in
	  macrosmanager)
	  * fixed bugs #9533 and #9546 by applying patch #1664, thanks
	  biplab

2006-12-04 19:15  mortenmacfly

svn3336:  - fixed missing includes in tinyxml

2006-12-04 19:09  mortenmacfly

svn3335:  - cb_share_config: modifications to reflect changes in
	  C::B SDK
	  - cb_share_config: updated project files accordingly

2006-12-04 16:51  ceniza

svn3334:  * SourceExporter: HTML and RTF files can be saved now
	  in a path with unicode characters.

2006-12-04 15:55  afb

svn3333:  - Apple Xcode file extensions

2006-12-04 14:24  thomasdenk

svn3332:  - Added a few null logs
	  - Use FileManager function in TinyXML::SaveDocument
	  - Changed printer variable name to standard naming

2006-12-04 14:17  mandrav

svn3331:  * If a build target contains no linkable objects, do
	  not generate a "link" command line.

2006-12-04 11:54  thomasdenk

svn3330:  - Target object dir variable

2006-12-04 09:33  mandrav

svn3329:  * Don't auto-detect compiler include/lib dirs if user
	  has already unset them.

2006-12-04 08:45  mandrav

svn3328:  * Fixed recent crash on exit (symbols browser).
	  * Support for variables in C/C++ parser search dirs.

2006-12-03 09:19  mandrav

svn3327:  * Fix for calculating build dependencies correctly when
	  using build
	  scripts for the build process.
	  - Minor fix in the update script.

2006-12-02 21:46  daniel2000

svn3326:  - Added RegExTestbed plugin to filelist of spec file
	  - very little typo fix in RegExTestbed's Makefile

2006-12-02 14:45  killerbot

svn3324:  - [ Patch #1628 ] compilergcc patch for wxWidgets 2.7

2006-12-02 14:39  killerbot

svn3323:  - XRC : wxCheckList -->wxCheckListBox

2006-12-01 23:16  afb

svn3322:  - DebuggerGDB: Darwin/Mach-O support

2006-11-29 22:13  mortenmacfly

svn3315:  * regex plugin: pipe output into another textctrl
	  instead of message box

2006-11-29 21:57  killerbot

svn3314:  * new option : drop-down list of tabs as a replacement
	  for the navigation arrows (thank Seth, you beat me to it ;-) )

2006-11-29 21:05  mandrav

svn3312:  - Removed recently added critical sections from
	  scripting manager (the
	  app was freezing on startup).

2006-11-29 19:37  afb

svn3310:  * fix bootstrap regexp bug affecting libtool 1.10+

2006-11-29 15:34  thomasdenk

svn3309:  - Removed unnecessary debug flooding
	  - Added command macros

2006-11-29 15:14  mandrav

svn3308:  - Corrected project files which were missing a couple
	  of newly added files.

2006-11-29 14:50  mandrav

svn3307:  * Added per-project option for code-completion parser:
	  custom parser search paths. Found in "Project->Properties".

2006-11-29 13:55  thomasdenk

svn3306:  - Added critical sections to ScriptingManager
	  - Added output capture
	  * Removed $(relative|absolute)()
	  * Added script expansion

2006-11-29 12:29  mandrav

svn3305:  * Fixed a crash and a freeze when the symbols browser
	  is disabled.

2006-11-29 09:55  mandrav

svn3304:  - Fixed RegExTestbed plugin windows project file (and
	  also made its window a little larger).

2006-11-29 09:52  mandrav

svn3303:  * Better build-scripts error handling.

2006-11-29 08:53  afb

svn3302:  - fix compilation problems on RHEL3 (bug #9289)

2006-11-29 07:47  killerbot

svn3301:  - RegExTestBed : Virtual target "All" added to cbp
	  files

2006-11-28 22:54  mandrav

svn3300:  * Added new contrib plugin: a very simple regular
	  expressions testbed.

2006-11-28 22:03  mandrav

svn3299:  - Minor fix for cross-platform build scripts loading.

2006-11-28 19:30  mandrav

svn3296:  - Added missing #include.

2006-11-28 12:36  afb

svn3295:  * wxMac: main_frame window under menubar bug (#9397)

2006-11-28 12:34  afb

svn3294:  - wxMac: white background for splashscreen

2006-11-28 12:33  afb

svn3293:  * DMD: fix the -l-l bug, support /usr installation

2006-11-28 12:18  mandrav

svn3292:  * Changed build scripts operation:
	  - Script function UnsetBuildOptions() is not needed anymore,
	  remove it from your build scripts (C::B itself reverts any
	  changes now).
	  - Script function SetBuildOptions(CompileOptionsBase) has changed
	  signature to SetBuildOptions(CompileTargetBase).

2006-11-27 23:41  mortenmacfly

svn3291:  * improve loading speed for large workspaces with GCC
	  and CC
	  - cache gathering of internal gcc dirs to avoid time-consuming
	  (multiple) calls to gcc cpp compiler during loading phase

2006-11-27 19:17  thomasdenk

svn3289:  - Null pointer check

2006-11-27 17:04  thomasdenk

svn3288:  - Added relative and absolute path variables
	  - Bugfix for chaining non-bracketed variables

2006-11-27 14:01  thomasdenk

svn3287:  - Added TARGET_CC TARGET_CPP etc.

2006-11-27 13:40  mandrav

svn3286:  * Added new target type: "native executable". Valid
	  only under windows where it produces a .sys file. Implemented
	  only for MinGW and MSVC compilers (all other compilers/platforms
	  create a normal console executable instead).

2006-11-27 12:52  thomasdenk

svn3285:  - Implemented false keyword

2006-11-27 12:11  thomasdenk

svn3284:  - Conditional variables

2006-11-27 11:27  mandrav

svn3283:  * When loading a workspace, code-completion parsing
	  starts after all workspace projects have been fully loaded. This
	  improves the loading time tremendously.

2006-11-27 10:22  mandrav

svn3282:  - Removed those colour settings for the docking system
	  that interfered (i.e. ignored) with the OS theme colours.

2006-11-27 09:48  mandrav

svn3281:  - Explicitly disabled custom crash handler because of
	  some unfortunate side-effects.

2006-11-27 08:43  afb

svn3280:  * added missing D compilers to CodeBlocks-unix.cbp

2006-11-27 08:42  afb

svn3279:  - GDC is not a GNU project, and changed to include/d

2006-11-26 23:41  afb

svn3278:  * enable DMD compiler for Linux

2006-11-26 23:37  afb

svn3277:  * wxMac: fix splashscreen background bug (#9347)

2006-11-26 23:34  afb

svn3276:  * wxMac: fix r3261 bug with plugins not loading

2006-11-26 13:20  afb

svn3275:  * fix bootstrap regexp bug affecting autoconf 2.61+

2006-11-26 13:12  afb

svn3274:  - simplify bootstrap for stupid /bin/sh

2006-11-26 13:09  afb

svn3273:  * binreloc support for Solaris

2006-11-25 20:42  killerbot

svn3272:  - clean up in Id's in compilergcc (several
	  XRC("ThisIdDoesNotExist" were issued -> wxNewId() and don't do
	  the XRC() thing twice : reuse the Id from the first time [in
	  OnUpdateUI])

2006-11-25 20:26  mandrav

svn3271:  * Code-completion updates:
	  - support for namespace aliases.
	  - support for token replacements in code-completion's tokenizer
	  (a.k.a "synonyms")
	  Both of the above allow for better parsing of complex C++ headers
	  which, in plain words, means that a larger part of STL is now
	  parsed.
	  - Re-organized code-completion's options.
	  - Added option to disable the symbols browser (separately from
	  code-completion).

2006-11-25 20:20  killerbot

svn3270:  * Build options from Project menu now correctly shows
	  info of the active project

2006-11-25 17:09  mortenmacfly

svn3269:  * fixed bug #9534 by applying (modified variant of)
	  patch #1656 and #1657 - thanks biplab
	  * improved setting compiler flags for (nearly) all compilers in
	  wizards

2006-11-25 16:26  afb

svn3268:  - wxMac and Darwin data_path

2006-11-25 15:37  mortenmacfly

svn3267:  * re-attached crash handler (got lost on the way?!)
	  - made crash-handler optional via command-line "no-crash-handler"
	  option for debugging C::B, development only!

2006-11-25 10:52  mandrav

svn3266:  - Reverted part of patch #1622 because it needs
	  additional reviewing
	  from afb.

2006-11-25 10:45  mandrav

svn3265:  - Added missing #include for non-PCH builds (patch
	  #1648).
	  - Updated debian build dependencies to:
	  a) require pkg-config and libgtk2.0-dev.
	  b) require libstdc++6-dev instead of libstdc++6-4.0-dev (patch
	  #1649).

2006-11-25 10:35  mandrav

svn3264:  * Fix for plugin path error message on startup (patch
	  #1646).

2006-11-25 10:32  mandrav

svn3263:  - Compile fix for SQPlus under FreeBSD (patch #1639).

2006-11-25 10:29  mandrav

svn3262:  * Added option in editor settings to use POSIX style
	  regex searches (patch #1629).

2006-11-25 10:25  mandrav

svn3261:  - Updated various Mac-related things (patch #1622).

2006-11-24 22:17  mandrav

svn3260:  * Fixed freeze/crash on exit (linux).

2006-11-24 21:33  mandrav

svn3259:  * Fixed data path locating bug (always used /usr/local)
	  in linux.
	  * Do not ignore --prefix command line option.

2006-11-24 12:58  killerbot

svn3258:  * fixed a reload projects bug when multiple projects
	  were changed outside CB

2006-11-24 11:57  thomasdenk

svn3257:  - Add missing resource include directory for
	  VS-conformance (patch by Christoph v. Wittich)

2006-11-23 14:30  mortenmacfly

svn3256:  - compilation fix for non-PCH capable compilers

2006-11-23 07:28  mortenmacfly

svn3255:  - linefeed fix (mixed windows and unix linefeed)

2006-11-22 22:29  mortenmacfly

svn3254:  * applied patches #1465 and #1310 (fixing some wizard
	  issues) - thanks sethjackson

2006-11-21 13:59  killerbot

svn3253:  - typo

2006-11-21 12:22  thomasdenk

svn3252:  - Added missing flag

2006-11-21 12:20  killerbot

svn3251:  * added build in support for Tiny C Compiler (tcc)
	  [might need some better options tuning and need some more work
	  for linux]

2006-11-21 08:10  thomasdenk

svn3250:  - Add cbC2U

2006-11-21 07:38  thomasdenk

svn3249:  - Typo

2006-11-20 21:30  afb

svn3246:  wxMac: watch out for PID=0 too

2006-11-20 17:19  thomasdenk

svn3245:  * Relocation fixes
	  - removed warning in plugin loader
	  - map user dirs to global dirs depending on mode of operation
	  - added /proc based app_path detection in place of "."-hack for
	  Linux

2006-11-20 03:02  ceniza

svn3244:  Help plugin:
	  * Implemented: now you can set if the help file is a line to be
	  executed instead of launching the associated application.
	  - Updated description of the plugin.

2006-11-19 23:38  afb

svn3243:  avoid violent crash

2006-11-19 23:32  afb

svn3242:  start here page ID mac fix

2006-11-19 23:26  afb

svn3241:  prefix finding bugfix

2006-11-19 11:05  mandrav

svn3240:  * Fix for empty lines logged in build log (under
	  linux).

2006-11-19 04:32  ceniza

svn3238:  - Fixed wrong checking of cbMessageBox's return value
	  in some plugins.
	  Help plugin:
	  - Now you can set if the help file is a line to be executed
	  instead of launching the associated application (FUNCTIONALITY
	  NOT IMPLEMENTED YET).
	  - Keyword substitution now works for all kind of help files, not
	  just URLs.
	  - Added tip to inform about the existence of keyword substitution
	  in the configuration dialog.
	  - Updated description of the help plugin.
	  - When adding a new help file, a message box will prompt you for
	  browsing of the help file and suggest you to read the plugin's
	  description for mor information.

2006-11-19 02:28  ceniza

svn3237:  * AStyle plugin: Bookmarks won't be lost when using the
	  plugin.

2006-11-18 14:11  thomasdenk

svn3236:  - Changed about box displayal

2006-11-18 12:54  thomasdenk

svn3235:  - Patch #1638 : new[] / delete mismatch

2006-11-18 11:04  thomasdenk

svn3234:  - Only use clip region on Windows

2006-11-18 10:37  thomasdenk

svn3233:  - Use builtin function GetPluginPath instead of
	  concatenating substrings

2006-11-17 14:00  mandrav

svn3230:  * Macros are now allowed in compiler installation and
	  additional paths.

2006-11-17 13:39  killerbot

svn3229:  * added environment setting "Ignore Invalid Targets"

2006-11-17 08:03  mandrav

svn3228:  * Fix for symbols browser missing (i.e. not parsing)
	  files external to the project.

2006-11-16 12:34  thomasdenk

svn3226:  - More correct regex

2006-11-16 09:35  thomasdenk

svn3225:  - Removed a 'v'

2006-11-16 09:04  killerbot

svn3224:  * fixed Find Previous

2006-11-15 20:32  mortenmacfly

svn3223:  * fixed cyclic loop (silent crash) with cbMessageBox
	  * made new splash screen appear in about dialog, too

2006-11-15 14:19  thomasdenk

svn3222:  - Fixed Pecan's printf("%d %s",...) problem
	  - Added support for escaping $ and %
	  - Fixed a few other known issues
	  - Removed envVarsToo parameter

2006-11-15 11:32  thomasdenk

svn3221:  - Setting shape in addition to clip region

2006-11-15 11:25  mandrav

svn3220:  * Refresh bug fix for symbols browser in "current file"
	  filter mode.

2006-11-15 10:41  mandrav

svn3219:  * Huge speed up in large projects loading (about 80%
	  off the original loading time).

2006-11-14 10:20  afb

svn3212:  macosx/darwin checks

2006-11-13 13:10  afb

svn3208:  macosx icons

2006-11-11 20:28  thomasdenk

svn3207:  - Removed two wxDirExists() which are no longer be
	  needed now

2006-11-11 20:21  thomasdenk

svn3206:  - Corrected return value on "already exists" in
	  CreateDirRecursively() to be consistent with "successfully
	  created".

2006-11-11 14:33  afb

svn3205:  check for pkg-config

2006-11-10 19:29  mandrav

svn3204:  - Compatibility with autoconf-2.60 (removed a
	  "configure" warning).

2006-11-10 19:21  mandrav

svn3203:  - Removed warning about not being able to create a
	  directory on startup
	  for non-windows platforms.
	  - Avoid unnecessary refresh of symbols browser.

2006-11-10 10:41  mandrav

svn3201:  * When re-ordering build targets, any virtual build
	  targets containing the re-ordered targets were not updated. As a
	  consequence, when building a virtual build target, the ordering
	  was not changed...
	  * Fixed a refresh bug for the compiler toolbar's targets
	  combobox.

2006-11-10 09:15  mandrav

svn3200:  * Fixed a couple of bugs with invalid compilers
	  handling when loading a project (i.e. compilers that do not exist
	  on the user's system).

2006-11-09 20:17  mortenmacfly

svn3197:  * added new GTK wizard (Windows only)

2006-11-08 22:31  ceniza

svn3195:  - wxPdfDocument updated to version 0.7.6.

2006-11-08 14:21  thomasdenk

svn3194:  - Replaced accidentially committed 8-bit dithered image
	  with 24-bit version

2006-11-08 13:53  thomasdenk

svn3193:  - Use wxStdPaths to get common locations, as far as
	  possible
	  - Better streamlining when querying locations
	  - Added early out for CreateDirRecursively (less user code)
	  - Added CreateDir (non-recursively, if not exists)

2006-11-08 13:43  thomasdenk

svn3192:  - Startup screen region skin redesign

2006-11-07 08:55  mandrav

svn3189:  * Handle opening and working with projects with invalid
	  compilers.

2006-11-06 20:29  killerbot

svn3186:  * MAC hack to have compiler options dialog to be big
	  enough

2006-11-05 22:01  daniel2000

svn3185:  * Fixes to the autotools build system:
	  - applied the not yet applied parts of patch #1591 (thanks afb
	  oncemore)
	  - added more missing resources and images to autotools
	  - removed lib_finder/license.txt from autotools till it's there

2006-11-05 21:37  daniel2000

svn3184:  * Changes in spec file:
	  - Adds support for RHEL/CentOS, and uses "automake17" RPM on .el3
	  (Patch
	  #1605, thanks afb)
	  - fixed some issues concerning mandriva/mandrake

2006-11-05 14:56  mortenmacfly

svn3183:  - include fix for non-PCH compilers

2006-11-05 10:54  afb

svn3182:  fix Mac crash when launching by file

2006-11-05 09:46  mortenmacfly

svn3181:  - Makefile.am fix for cb_share_config (autotools)

2006-11-04 14:19  artoj

svn3180:  Code snippets:
	  * Snippets are now correctly encoded when saved.

2006-11-04 11:34  afb

svn3179:  unicode fix for darwin GetAppPath

2006-11-03 23:56  afb

svn3178:  GetAppPath fix for Darwin / Mac OS X

2006-11-03 23:49  afb

svn3177:  MacOpenFile/MacPrintFile

2006-11-03 19:55  byo

svn3176:  Old wxSmith:
	  * Removed sliders bug (for bigger resources, when editor has
	  extra scroll bars, wxSmith tried to shift widget when dragging
	  these bars)
	  * Better calculation of position for drag points after changing
	  position / scrolled editor
	  * Cleaned up wxsDragWindow and wxsDrawingWindow

2006-11-03 14:21  killerbot

svn3175:  * add "AddUser" button in todo plugin -> new users can
	  be added again (this was lost in the combobox ->choice control
	  replacement)

2006-11-03 11:05  killerbot

svn3174:  * updated wxFlatNotebook to eranifs first revision in
	  svn :-)

2006-11-02 22:36  mortenmacfly

svn3173:  * fixed crash when trying to debug in a thread and the
	  debugger wasn't active anymore

2006-11-02 17:32  mandrav

svn3171:  * Fixed setting breakpoints in files not belonging to
	  project.

2006-11-02 15:16  artoj

svn3170:  Code Snippets:
	  * Added Copy to clipboard functionality
	  * Added Debug target to *nix project file (for future usage)
	  * Added note about the documentation in the Wiki

2006-11-02 14:31  tiwag

svn3169:  - removed wrong casts introduced in previous commit
	  3168 "save&restore printerdialog paperid and orientation to
	  config"

2006-11-02 13:58  tiwag

svn3168:  * save&restore paperid and orientation to config

2006-11-02 09:07  killerbot

svn3167:  * MinGW, and GnuArm : added -ansi option

2006-11-02 08:35  mandrav

svn3166:  * Fixed once and for all the various issues (aka
	  crashes) when displaying dialogs on startup.

2006-11-02 07:11  killerbot

svn3165:  * fix pedantic compiler option : -pedantic-errors
	  (plural !!!)

2006-11-01 12:09  killerbot

svn3164:  * searching bugfix : when intially there was a
	  selection but then the scope was changed to 'Global' the
	  selection coordinates should have no influence any more, it's
	  back to a normal search without selection

2006-10-31 10:26  killerbot

svn3163:  * fixed build log file name for batch jobs (workspace
	  <--> project)

2006-10-30 21:00  killerbot

svn3162:  * sync with cvs sources of wxFlatNotebook (Set bitmap
	  to all wxMemoryDC to allow correct height)

2006-10-30 20:31  killerbot

svn3161:  * [ Patch #1592 ] Updated filelist for RPM
	  "codeblocks.spec"[.in] (fixes : [ Bug #9291 ] %files in
	  codeblocks.spec are out-of-date)

2006-10-30 20:17  killerbot

svn3160:  - symtab : little include fix

2006-10-30 20:13  killerbot

svn3159:  - little Makefile.am fixes

2006-10-30 13:15  killerbot

svn3158:  - LANG : en_US for autorevision instead of EN

2006-10-29 14:43  mortenmacfly

svn3157:  - fixed one of the most stupidest copy&paste bugs alive
	  of previous commit

2006-10-29 14:28  mortenmacfly

svn3156:  * help plugin: inform if a help file cannot be found
	  (instead of silently doing "nothing"); use cbMessageBox for
	  proper dialog placement
	  - minor code optimisation for recent unicode save file
	  work-around

2006-10-29 08:08  mandrav

svn3155:  * Fixed locating the file's pair (swap header/source)
	  in a workspace when the active file does not belong in the active
	  project.

2006-10-29 07:44  mandrav

svn3154:  * Fixed a refresh bug in symbols browser ("Current
	  file's symbols" mode) when switching the active file.

2006-10-28 20:47  mandrav

svn3153:  * Modified bootstrap to recognize and use environment
	  variables like $AUTOMAKE, $AUTOCONF, etc. Patch #1502.

2006-10-28 10:58  thomasdenk

svn3152:  - Set language environment for Subversion

2006-10-27 22:02  pecan

svn3151:  - KeyBinder v1.0.1 2006/10/27
	  - Change OnIdle merge to OnTimer as per Denk advice
	  - On new version, copy old key defs file if compatible
	  - minor cleanup and spelling corrections

2006-10-27 12:03  killerbot

svn3150:  * [ Patch #1580 ] Manage plugins dialog improvement
	  (with some minor fixes)

2006-10-26 23:12  byo

svn3149:  Old wxSmith: Updated background fetching system -
	  wxSmith doesn't seem to hang up when parameters are changed
	  really fast, it works a little bit better with sliders inside
	  editor area (not perfect yet) and maybe it works on MacOS

2006-10-26 21:15  mortenmacfly

svn3148:  * warn the user if a file's content became empty due to
	  wrong file encoding

2006-10-26 20:46  killerbot

svn3147:  * [ Patch #1579 ] HTML Build Log

2006-10-25 18:03  killerbot

svn3145:  - include fixes

2006-10-25 11:31  killerbot

svn3144:  * (CB)Profiler : case sensitivity, and renamed to
	  Profiler

2006-10-25 11:15  killerbot

svn3143:  * updated wxFlatNotebook to it's state in cvs :
	  improvements for our Mac users

2006-10-25 10:15  daniel2000

svn3142:  * Renamed the profiler resources file back to
	  CBProfiler.zip (autotools)

2006-10-24 12:52  killerbot

svn3139:  - suppress "no file" error

2006-10-24 12:02  killerbot

svn3138:  - SymTab : case sensitivity

2006-10-24 11:04  mandrav

svn3137:  * Added option to save the full command lines in the
	  HTML log (if enabled).
	  * Fixed wrong HTML file name (_build.log.html) when building from
	  command line (batch builds).

2006-10-24 09:26  killerbot

svn3136:  - remove bom

2006-10-24 09:24  mandrav

svn3135:  * Symbols browser updates:
	  - Global typedefs have moved in a special folder (faster tree
	  updates).
	  - Namespaces are sorted before everything else (easier browsing).
	  - "Derived classes" special folders now do not cause an infinite
	  recursion when browsing inheritance.

2006-10-24 09:07  killerbot

svn3134:  - SymTab : case sensitivity

2006-10-23 22:27  mandrav

svn3133:  * Improved locating #include files (some cases where it
	  failed have been discovered).

2006-10-23 20:52  byo

svn3131:  Old wxSmith: Removed "new" folder, New wxSmith version
	  is in different branch now

2006-10-23 18:51  tiwag

svn3130:  * FindDlg bugfix: settings for AutoWrap at EOF and Find
	  Selected text got overwritten by FindInFiles dialogs

2006-10-23 12:54  killerbot

svn3129:  - symtab : switch back to wx2.6.1 function
	  GetSelection() (which is sufficient)

2006-10-23 12:22  mortenmacfly

svn3128:  * SymTab plugin: fixed parser error with demangeled
	  methods (thanks takeshi)
	  - SymTab plugin: minor code cleanup/optimisations

2006-10-23 11:35  mandrav

svn3127:  * Fixed broken warnings/errors display of compiler
	  output.
	  * Clear any remaining build jobs in case of build errors. When a
	  workspace was built and failed, next try to build it would
	  continue with the next target instead...
	  * Added option to control whether the build log will be saved to
	  HTML file or not, after the build finishes.
	  * Added "Copy to" buttons in link libraries and directories in
	  compiler options. Allow to copy the respective settings to
	  another build target (or the project).

2006-10-22 13:31  daniel2000

svn3126:  * fixed missing/wrong named resources of lib_finder and
	  profiler plugins in autotools

2006-10-22 11:14  killerbot

svn3125:  * makefile fix

2006-10-21 20:31  killerbot

svn3124:  - minor include clean

2006-10-21 17:47  mortenmacfly

svn3123:  - removed Unicode config from symbol table C::B project
	  file (avoid conflicts)

2006-10-21 17:45  mortenmacfly

svn3122:  * added new plugin: symbol table (utilises nm
	  application to search for symbols inside libs/object files)

2006-10-21 16:00  killerbot

svn3121:  * Applied BJM's patch for bug #8579 BUT ONLY for
	  __wxGTK__ (there it seems to fix the libcairo crash, but it has
	  bad influence on windows)

2006-10-21 13:21  killerbot

svn3120:  * updated wxFlatNotebook (in sync with cvs repo at 21
	  okt 2006) (fixes the positioning of tabs in the message pane)

2006-10-21 11:06  mandrav

svn3119:  * Minor fixes for HTML build logging:
	  - When building a workspace, only a log for the workspace is
	  created.
	  - Fixed broken coloring of build log messages since last commit.

2006-10-21 10:43  mandrav

svn3118:  * Changed the filename under which the HTML build log
	  is saved.
	  * Added build start/end date and time in the HTML build log.

2006-10-21 10:25  mandrav

svn3117:  * After a build is finished, the build log is saved in
	  HTML format.

2006-10-20 19:50  mandrav

svn3116:  * Fixed bug that would add the 'lib' prefix in static
	  libs no matter if it was already there (in auto-name-generation
	  mode).

2006-10-20 18:13  mortenmacfly

svn3115:  * applied patch #1568: classwizard improvements (thanks
	  workwind)

2006-10-20 15:19  tiwag

svn3114:  * Reverted commit rev 3100: "Applied BJM's patch for
	  bug #8579 (Crashes Randomly During Use: Linux + SMP)."
	  because it constantly crashes CB

2006-10-20 13:16  tiwag

svn3113:  * FindDlg: show messageboxes when there is no open
	  project or workspace where to search in

2006-10-20 12:06  tiwag

svn3112:  * fixed crash when using Find in files

2006-10-19 09:23  killerbot

svn3111:  - addition to previous commit : no wrap when search in
	  FILES

2006-10-19 09:14  mandrav

svn3110:  * Fixed crash in replace dialog, caused by the latest
	  patch for find&replace options.

2006-10-19 08:24  mandrav

svn3109:  * Added controlling master-list of plugins to load for
	  batch builds. Can be found (and adjusted) in "Settings->Compiler
	  and debugger->Batch builds". Defaults to the compiler plugin
	  only.

2006-10-19 00:19  tiwag

svn3108:  * Find dlg : Auto-Wrap at EOF and Find uses selected
	  text (pecan patch #1571)

2006-10-18 22:45  byo

svn3107:  Old wxSmith: Some more updates to avoid potential
	  problems while enabling / disabling plugin

2006-10-18 21:40  byo

svn3106:  Old wxSmith: Plugin does not crash while
	  Enabling/Disabling anymore (but there's some more work to do to
	  get full functionality of these functions)

2006-10-18 19:16  pecan

svn3105:  - DragScroll 1.0.31 Set default Auto Focus Editor to
	  OFF

2006-10-18 14:04  mandrav

svn3104:  * Special pre/post build command added: #run_script.
	  Usage: "#run_script filename.script". Allows to run a
	  Code::Blocks script during the build process. A usage example
	  will be posted on the wiki soon.
	  * New script function: InstallPlugin(). When called from a
	  script, a security warning is emitted (no way to turn this off).
	  * Fixed minor bug in build scripts clearing.
	  * Few more macros added in MacrosManager:
	  - TARGET_OUTPUT_FILE: active target's output filename.
	  - TARGET_OUTPUT_BASENAME: active target's output base name (no
	  path and no extension).
	  - [target_name]_OUTPUT_BASENAME: named target's output base name
	  (no path and no extension).

2006-10-18 11:54  tiwag

svn3103:  * Console-runner shows return value and execution time
	  of executed process
	  console window title shows executed commandline (MSW only)

2006-10-18 11:34  mandrav

svn3102:  * Added two new options in plugins management dialog:
	  - Install plugin for all users or current user only (checks user
	  rights too).
	  - Ask for confirmation if conflicts arise.

2006-10-18 11:32  killerbot

svn3101:  * fixed replace bug (described at
	  http://forums.codeblocks.org/index.php?topic=4243.msg33456#msg33456)

2006-10-17 23:48  ceniza

svn3100:  * Applied BJM's patch for bug #8579 (Crashes Randomly
	  During Use: Linux + SMP).

2006-10-17 17:44  mandrav

svn3099:  * Warning and error log messages are now printed in
	  both the main app log and the debug log (if enabled), using blue
	  and red colour respectively.
	  * If errors or warnings are logged during startup, an InfoWindow
	  pops up informing the user about the fact.
	  - Updated some MessageManager functions:
	  Renamed DebugLogError to LogError.
	  Renamed DebugLogWarning to LogWarning.
	  Added a couple more utility functions.
	  Added LOG, LOG_ERROR and LOG_WARN macros for easier logging.
	  - Added MessageLog::Clear pure virtual function.
	  - Updated all affected code for the above MessageManager changes.
	  - Fully documented the MessageManager class.

2006-10-17 16:01  pecan

svn3098:  -DragScroll 1.0.30 2006/10/17
	  - Added focus follow mouse option for MSW (default off)

2006-10-17 11:14  mandrav

svn3097:  * Fixed broken single-file compilation (without
	  project).

2006-10-17 08:43  mortenmacfly

svn3096:  - minor include fix for non-PCH-capable compilers

2006-10-17 06:52  killerbot

svn3095:  - BOM removed

2006-10-16 16:19  mandrav

svn3094:  * Plugins management revamped. Tested under windows and
	  linux.
	  - Plugins enabling/disabling on-the-fly.
	  - Plugins installing/uninstalling on-the-fly.
	  - Plugins exporting (backup) on-the-fly.
	  * Fixed all code that needed fixing to support the above (except
	  wxSmith
	  - don't try anything with it: it will crash the app).

2006-10-16 11:34  killerbot

svn3093:  - minor cleanup (line continuation not needed)

2006-10-16 09:27  mortenmacfly

svn3092:  * fixed bug that devpak plugin got not loaded (invalid
	  manifest file)

2006-10-16 06:30  killerbot

svn3091:  - removed BOM's

2006-10-15 17:28  killerbot

svn3090:  * update to wxFlatNotebook 2.1 (some of our mods are
	  not yet applied, might be they are no longer needed) (PS: 'X'
	  button now also on tabs of VC8 style)

2006-10-15 10:42  mandrav

svn3089:  * Another regular expression fix for gdb backtraces.

2006-10-13 22:42  killerbot

svn3087:  * wxFNB : VC8 style (== patch 1158) : NOTE : this style
	  does not support the close button on tab -> right click menu
	  closing

2006-10-13 20:53  mandrav

svn3086:  * Added support for gdb-5.2 backtraces.

2006-10-13 17:43  tiwag

svn3085:  * Print range of pages bugfixed

2006-10-13 15:32  thomasdenk

svn3084:  - Disable gpm emulation for GTK

2006-10-13 13:07  killerbot

svn3083:  - some info on the changes we made to the original
	  wxFlatNotebook sources

2006-10-13 13:03  mandrav

svn3082:  * Added a check for invalid text-bounds in
	  code-completion (fixes an assert that would appear these last few
	  days).

2006-10-13 07:58  mandrav

svn3081:  * Pre- and post-build commands do not execute in
	  parallel processes anymore but sequentially (this was clearly
	  wrong).

2006-10-12 16:55  tiwag

svn3080:  - set PrintMagnification different for printouts
	  with/without linenumbers, so that at least in every mode about 85
	  chars of source code are on a line of a A4 page

2006-10-12 11:56  tiwag

svn3078:  * File->Print uses correct settings from printer dialog
	  (paper size & orientaion)
	  "print line numbers" setting from print dialog is stored in
	  config file
	  

2006-10-11 22:23  daniel2000

svn3076:  - fixed autorevision's makefile (one ../ too much)
	  - added cb_share_config to the filelist of the spec file

2006-10-11 15:36  killerbot

svn3074:  - remove warning

2006-10-11 14:00  mandrav

svn3073:  * Fixed wxFlatNotebook to emit the page
	  changing/changed events every time the selection changes (would
	  only emit them if changed using the mouse). This should also fix
	  some issues with info about the active editor being out-of-sync.

2006-10-11 13:38  killerbot

svn3072:  - remove some BOM's that got into sources in previous
	  commit (no idea why)

2006-10-11 13:19  killerbot

svn3071:  * upgrade to wxFlatNotebook 2.0 and introducing 2 new
	  CB features :
	  a) "X" close button on every tab in the EditorManager (old "X"
	  button removed)
	  b) ctrl-shift-tab advanced tabbing (turn this on in
	  settings->environment->notebooks appearance->Use Smart
	  Tab-switching scheme

2006-10-11 12:46  killerbot

svn3070:  * Gnu ARM and MinGW : ar -s instead of ranlib

2006-10-11 11:04  mandrav

svn3068:  * Substitute macros when cleaning a build.

2006-10-11 10:57  mandrav

svn3067:  - Fixed workspace building bug introduced accidentally
	  in the previous commit.

2006-10-11 09:23  mandrav

svn3066:  * Fixed bug with macros substitution during the build
	  process.

2006-10-10 21:10  mandrav

svn3064:  * Fixed bug in new build process: if the build failed,
	  the remaining jobs were not removed from the queue.

2006-10-10 20:57  mortenmacfly

svn3063:  * show filename on TinyXml errors for the user to e.g.
	  manually fix (if possible)

2006-10-10 17:23  mortenmacfly

svn3061:  - added C::B project file for cb_share_config for Unix
	  - added cb_share_config application to ContribPlugins workspace
	  (allthough it's a stand-alone application)

2006-10-10 15:37  mandrav

svn3060:  - Fixed lib_finder project file to build under linux.

2006-10-10 12:38  mandrav

svn3059:  * Build process revamped.
	  - Fixed minor bug in project layout loading.

2006-10-10 12:25  killerbot

svn3058:  - applied patches 1423 and 1320 (consistency in project
	  files : $(WX_CFG))

2006-10-10 12:23  mortenmacfly

svn3057:  - removed extra_dist in build system (not decided yet
	  whether to move update scripts in build_tools, too)

2006-10-10 12:21  mortenmacfly

svn3056:  * added cb_share_config tool (share specific C::B
	  configuration data between C::B installations)

2006-10-10 12:18  mortenmacfly

svn3055:  - re-ordered compilation of sub-folders for build
	  system to work properly

2006-10-10 12:15  mortenmacfly

svn3054:  - completed adjustment of autorevision system (for
	  build_tools)

2006-10-10 12:13  mortenmacfly

svn3053:  - moved autorevision tool to new build_tools folder,
	  updated project files (completing adjustment of autobuild system
	  later)

2006-10-10 12:11  mortenmacfly

svn3052:  - added build_tools folder (that will contain tools for
	  building C::B in the future)

2006-10-09 18:06  thomasdenk

svn3051:  - Patch #1041 (updated) : app.c/app.h cleanup

2006-10-09 13:38  thomasdenk

svn3050:  - Changed xml indentation to tabs
	  - Inlined ::cbSaveXmlFile using TinyXML::SaveDocument

2006-10-09 12:20  thomasdenk

svn3049:  - Final fix for the CDATA < > problem using TinyXML API
	  - Fixed ";" in search history problem

2006-10-09 09:15  mortenmacfly

svn3048:  - much better include fix for non-PCH compilers (thanks
	  killerbot)

2006-10-09 07:31  mortenmacfly

svn3047:  - include fixes for compiling with non-PCH capable
	  compilers

2006-10-09 07:15  killerbot

svn3046:  - update to previous commit (will the end ever be near
	  ?)

2006-10-09 07:12  killerbot

svn3045:  - update to previous commit (the end is so near)

2006-10-09 07:07  killerbot

svn3044:  - update to previous commit (the end is near)

2006-10-09 07:02  killerbot

svn3043:  - update to previous commit (fun fun : 1 pc windows and
	  1 pc linux)

2006-10-09 07:00  killerbot

svn3042:  - update to previous commit

2006-10-09 06:52  killerbot

svn3041:  - update to previous commit

2006-10-09 06:10  killerbot

svn3040:  - update to previous commit

2006-10-09 06:09  killerbot

svn3039:  - further include fixes in library_finder

2006-10-08 20:30  mandrav

svn3038:  * Fixed minor bug in code-completion local block
	  parsing (would miss the first line of the block, sometimes).

2006-10-08 19:07  killerbot

svn3037:  - include fix (so it builds on linux)

2006-10-08 15:41  mandrav

svn3036:  * Watching arrays with gdb has been improved.
	  * Added debugger configuration option for max # of elements an
	  array must have in order to be displayed on a single line.

2006-10-08 11:06  mandrav

svn3035:  * Resolved all breakpoint-setting issues some people
	  experienced.
	  - Now uses relative filenames for breakpoints.
	  - Allows setting breakpoints in spaces with paths.
	  - Also allows gdb-5.2 to be used with C::B again (tested and
	  working).

2006-10-08 09:20  mandrav

svn3034:  * Added info about error column and row numbers when
	  the configuration can't be opened.
	  * Convert < and > to XML entities when saving strings in the
	  configuration or else the XML file will become invalid and C::B
	  won't open again until the configuration is deleted.

2006-10-07 22:54  thomasdenk

svn3033:  - Image update

2006-10-07 16:34  mortenmacfly

svn3032:  - first fix for the lib_finder plugin: settings were
	  written into wrong config section

2006-10-07 15:39  mortenmacfly

svn3031:  * added (old, but overworked) lib_finder plugin to SVN
	  (under contrib plugins)
	  - added autobuild support for this plugin, but untested (have no
	  linux access atm)

2006-10-07 13:51  mandrav

svn3030:  * Implemented 'start' offset and 'count' elements for
	  debugging arrays. The options existed in the GUI but were not
	  implemented in the driver.

2006-10-07 08:42  killerbot

svn3029:  - google/msdn search tip added

2006-10-06 21:54  thomasdenk

svn3028:  - Google Code

2006-10-06 20:18  killerbot

svn3027:  * apply [ Patch #1529 ] OpenFileTree was once more not
	  updated correctly : fixes [ Bug #9001 ] Untitled1 in Open Files
	  tree after save as

2006-10-06 19:54  killerbot

svn3026:  * apply [ Patch #1468 ] Expand caret period slider

2006-10-06 16:56  thomasdenk

svn3025:  - Got rid of strlen() too

2006-10-06 16:47  thomasdenk

svn3024:  - Added loading of new images and new visual states
	  - ProjectFile initialisation through initialiser list
	  - Visual state changing only when needed

2006-10-06 14:32  killerbot

svn3022:  * apply [ Patch #1467 ] TODO-list filter by user bug

2006-10-06 14:30  thomasdenk

svn3021:  - Tree icon update

2006-10-06 13:51  killerbot

svn3020:  * [ Patch #1533 ] Corrected linker order arguments for
	  DMars compiler

2006-10-06 13:46  killerbot

svn3019:  * apply [ Patch #1534 ] Adds "Floating point" as output
	  format to Edit Watch dialog

2006-10-06 13:35  killerbot

svn3018:  * bug fix (bug 8878) by applying patch 1524

2006-10-06 13:31  killerbot

svn3017:  * apply Patch #1431

2006-10-06 11:11  killerbot

svn3016:  - the ultimate minor change [decl/def at same time ->
	  init]

2006-10-06 11:05  thomasdenk

svn3015:  - Reverting revision 3013 under pain of death

2006-10-06 08:46  thomasdenk

svn3014:  - Lieven's modification works fine with TIXML_USING_STL
	  and is a lot better, make that default

2006-10-06 08:19  thomasdenk

svn3013:  - Removed deprecated wxHIDE_READONLY

2006-10-05 13:36  killerbot

svn3012:  - TinyXML 2.5.2 : fix for Linux builds (they don't seem
	  to do it the TIXML_USE_STL way) [continued]

2006-10-05 13:23  killerbot

svn3011:  * TinyXML 2.5.2 : fix for Linux builds (they don't seem
	  to do it the TIXML_USE_STL way)

2006-10-05 11:44  thomasdenk

svn3010:  - TinyXML 2.5.2

2006-10-05 11:43  thomasdenk

svn3009:  - Fixes for TinyXML 2.5.2

2006-10-05 06:00  mortenmacfly

svn3008:  * a few adjustments (uninitialised member variables) in
	  CC plugin that may lead to imrpoved stability

2006-10-02 13:27  thomasdenk

svn3004:  - Subversion 1.4 entries parsing

2006-10-02 11:33  thomasdenk

svn3003:  - gpm (ftr #2660)

2006-10-01 11:38  killerbot

svn3002:  * search in files : result set in message pane : only
	  double click to open editor containing that result (allows to key
	  navigate in the result list without opening all those editors)
	  - header include fixes

2006-09-29 07:55  mortenmacfly

svn3001:  - PCH include fiixes (for compilers not supporting PCH)
	  - removed *.depend and *.layout files (not required)

2006-09-28 17:52  mandrav

svn3000:  * Added all date and time related macros as editor
	  abbreviations. See
	  http://forums.codeblocks.org/index.php?topic=4110.

2006-09-28 12:51  killerbot

svn2999:  - include fix to build on linux

2006-09-28 12:19  mandrav

svn2998:  * Greatly improved updating of the watches tree. Also
	  fixed all related bugs (e.g. #6687).
	  * The watches tree is currently disabled for the CDB debugger
	  (until the relevant code is rewritten).

2006-09-28 09:43  mandrav

svn2997:  * Fixed bug that recently crept in C::B plugin wizard.

2006-09-28 08:38  mandrav

svn2996:  * Added a new option to the files extension handler:
	  open with associated application (windows only).

2006-09-25 10:42  mandrav

svn2995:  - Added missing manifest_template.xml in C::B plugin
	  wizard.

2006-09-24 07:55  killerbot

svn2994:  * cleanup : plug-ins no longer need to include
	  licenses.h
	  - updated the manifest xml of keybinder

2006-09-24 00:51  pecan

svn2993:  - Keybinder v0.4.26 2006/09/23
	  - minor #defines for WXMAC
	  - stop OnProfileSelected() from saving blank keyProfile

2006-09-23 23:55  daniel2000

svn2992:  Two little fixes to autotools to get "make dist" work
	  correctly

2006-09-23 11:54  thomasdenk

svn2991:  * Patch #1497 : Added special Scintilla commands to the
	  edit menu

2006-09-23 11:53  pecan

svn2990:  -DragScroll fix missing equates == on linux

2006-09-22 12:24  pecan

svn2989:  - DragScroll - Minor addition. #defines for WXMAC.

2006-09-22 09:13  mandrav

svn2988:  * Updated C::B plugin wizard for the latest updates in
	  the plugin loading framework.
	  * Added XML in known file types.
	  * Exported to scripts the XML extension constant (EXT_XML and
	  EXT_DOT_XML) as well as the plugin SDK version
	  (PLUGIN_SDK_VERSION_MAJOR, PLUGIN_SDK_VERSION_MINOR and
	  PLUGIN_SDK_VERSION_RELEASE).

2006-09-21 19:13  mandrav

svn2987:  * Fixed autotools build (added missing $DESTDIR).

2006-09-20 21:08  mandrav

svn2986:  * Updated non-windows build.

2006-09-20 14:16  killerbot

svn2985:  * Check also for modified projects when the app get's
	  (re)activated, so that externally modified project files (.cbp)
	  allow the user to reload them (just like with externally modified
	  files)

2006-09-20 13:08  mandrav

svn2984:  * Added options for build targets to auto-generate the
	  output filename, based on the running platform. This should bring
	  us closer to the final goal of one project file for all
	  platforms.

2006-09-20 13:05  mandrav

svn2983:  * Plugin loading redesigned
	  - All plugins must provide a resource zip file containing at
	  least one file named manifest.xml. Several hard-coded things in a
	  plugin have moved to this manifest file (and more to come).
	  - Got rid of the ugly macros for registering a plugin. Replaced
	  by a templated class.
	  - All plugins in our repository have been updated accordingly.
	  - Autotools builds not yet tested.

2006-09-19 15:36  artoj

svn2982:  Code snippets:
	  * Replaced Clear button with a control button
	  * Added options for searching: case sensitivity and type
	  * Removed extra padding around the tree control

2006-09-18 19:55  killerbot

svn2981:  * [ Patch #1499 ] : To do list can cope now with
	  doxygen style to do's

2006-09-18 10:57  killerbot

svn2979:  * CodeCompletion Toolbar : show namespace in left
	  choice control when outside any function

2006-09-17 17:02  killerbot

svn2977:  * code snippets patch applied

2006-09-14 15:27  mandrav

svn2976:  * Added a small delay before parsing the active file
	  for functions, to allow for quick file switching without the
	  editor lagging behind.

2006-09-13 13:42  mandrav

svn2975:  * Fixed symbols browser flickering/pause on update (for
	  large projects/workspaces).

2006-09-13 13:40  mandrav

svn2974:  * Correctly remember the window size and position from
	  last run, even if maximized.

2006-09-13 13:07  mandrav

svn2973:  * Align all plugin toolbars vertically when
	  initializing the layout. If users are missing some toolbars, they
	  should delete the current layout and it will be fixed.

2006-09-12 14:00  tiwag

svn2972:  - ANSI-build header bug fixed : wxUSE_UNICODE is always
	  defined
	  check with #ifdef wxUSE_UNICODE is not sufficient, use always #if
	  wxUSE_UNICODE

2006-09-11 14:06  pecan

svn2971:  * DragScroll v0.28 Re: Config fonts too small on some
	  Linux systems
	  - Removed all SetFont() calls
	  - Removeed SetBackgroundColor() calls

2006-09-11 10:45  tiwag

svn2970:  - ANSI BUILD patchfile for CB-projectfiles added

2006-09-11 10:12  tiwag

svn2969:  - changed linker libs to be ansi-build compliant (works
	  on XP too)

2006-09-10 21:47  mandrav

svn2968:  * Fixed issue with debugger breakpoints not actually
	  being removed (although it seemed like they were). The issue
	  existed only for pending breakpoints (i.e. breakpoints resolved
	  at runtime, e.g. inside a shared library).

2006-09-10 08:36  mandrav

svn2967:  * Improvements in code-completion parsing.
	  * Typedefs parsing in code-completion is back again.

2006-09-09 21:18  byo

svn2966:  New wxSmith: (please do not test new wxSmith, it's not
	  usable in this commit)
	  * Started implementing wxSmith in more layered style, wxSmith
	  currently contain project integration layer (independent of gui
	  or resource types)
	  * Added wxsGUI / wxsGUIFactory classes
	  * Moved wxsResourceTreeData to separate files / renamed to
	  wxsResourceTreeItemData

2006-09-09 15:09  mandrav

svn2965:  * Disabled last changes in the encoding detector as it
	  is deemed "incomplete".

2006-09-08 23:32  killerbot

svn2964:  - comment out a DBGLOG (will not compile if no pch)
	  NOTE: gdb_tipwindow is not really include correct in case of non
	  pch

2006-09-08 12:28  mandrav

svn2963:  * Fixed bug with saving UTF16 files (since last
	  encoding-related commit). Please be *really* careful with this
	  feature. It still is *not* solid, so avoid editing files for
	  which you have no backup available.

2006-09-08 11:02  mandrav

svn2962:  * Avoid duplicate entries when code-completing
	  #includes (bug #8692).

2006-09-08 10:50  mandrav

svn2961:  * When creating a new empty file, the user is asked to
	  add it in the active project (old behaviour re-instated).

2006-09-08 10:32  mandrav

svn2960:  * Improved the document encoding detector.

2006-09-08 06:14  killerbot

svn2959:  - little forward declaration and include fix

2006-09-07 11:28  mortenmacfly

svn2958:  * added perl lexer files (lexer and example)

2006-09-06 18:20  killerbot

svn2957:  * CodeCompletion toolbar : functions in choice control
	  are sorted

2006-09-05 21:06  killerbot

svn2956:  - little update to previous commit

2006-09-05 20:08  killerbot

svn2955:  - fix globals.cpp for ansi build

2006-09-05 07:55  killerbot

svn2954:  * CodeCompletion Toolbar : when cursor outside any
	  function, function choice control should not have a selection

2006-09-04 18:46  mandrav

svn2953:  - Fixed build error.

2006-09-04 17:41  mandrav

svn2952:  - Fixed compile error for non-windows platforms.

2006-09-04 16:50  mandrav

svn2951:  * Fixed wrong appearence of images under pre-XP windows
	  versions. - For the above to work, the global function
	  cbLoadBitmap() was added and every developer is encouraged to use
	  this whenever a bitmap must be loaded from disk. I have already
	  converted everything in the code to use this.

2006-09-04 13:12  mandrav

svn2950:  * Fixed display bug of debugger's tooltips, in rare
	  cases (bug #8604).

2006-09-04 12:47  mandrav

svn2949:  * When closing a project, remove all of its breakpoints
	  from the debugger.

2006-09-04 11:13  mandrav

svn2948:  * Fixed small bug with toolbar sizes (in XP this time,
	  doh).

2006-09-03 20:41  mortenmacfly

svn2947:  - SDK documentation update (fixed doxygen warnings)

2006-09-03 12:46  mandrav

svn2946:  * Updated main project file and all contrib plugins'
	  project files for
	  linux.

2006-09-03 12:45  mandrav

svn2945:  * Fixed freeze in linux when updating the symbols
	  browser.

2006-09-02 21:49  pecan

svn2944:  * cbKeyBinder v0.4.25d
	  - record dynamically changed menu items
	  - Get menu shortcuts via wxAcceratorEntry to appease wxGTK
	  - Add file name to corrupted file message
	  - Non Destructive update of menu items
	  - backup of .ini file to .ini.bak before delete/save

2006-09-02 19:36  killerbot

svn2943:  - this is what happens when you press ctrl-s and the
	  ctrl didn't make it through

2006-09-02 19:29  killerbot

svn2942:  - insertclassmethoddlg : little include fix

2006-09-02 10:47  killerbot

svn2941:  * autodetect compilers : allow only 1 selection for
	  default Compiler
	  - little include cleanup

2006-09-02 09:19  mandrav

svn2940:  * Removed the environment option to enabling the
	  toolbar hack for XP. It is now completely auto-detected if the
	  hack is needed, for all Windows versions.

2006-09-02 08:13  mandrav

svn2939:  - Removed sdk dependency on libwxscintilla.a in project
	  file. Not needed since libwxscintilla.a is a dll import lib.
	  * Fixed a couple of issues when updating the symbols browser.
	  * Added "All class methods without implementation" in editor's
	  context menu ("Insert" submenu). This revealed a bug in the
	  parser, regarding return values with namespaces. So expect it to
	  output code that doesn't compile in these cases (until the parser
	  bug is fixed).
	  * Fixed bad flickering caused the moment the code-completion list
	  was shown. This was caused by a hack in wxScintilla. The
	  side-effect is that the selected word in the list is highlighted
	  in gray instead of blue (standard colors) but this shouldn't be
	  too much of a problem.

2006-09-02 07:56  mandrav

svn2938:  * Added hack to fix toolbar sizes for toolbars that
	  contain windows controls. This hack is automatically applied on
	  all Windows versions prior to XP. An environment option has been
	  added to enable this hack for XP too. This is needed only if you
	  run C::B *without* a manifest file in XP.

2006-08-31 16:33  tiwag

svn2936:  * batchbuild log window auto-closes even when an error
	  occurred during the build,
	  if the option --no-batch-window-close was not given.
	  - Info: a non-zero build-error-code is returned as exit-code when
	  batchbuilding and
	  can be handled by the calling batch-script

2006-08-31 14:34  tiwag

svn2935:  * compilergcc - "Abort Build" : for WXMSW only : write
	  logmessage before skipping the rest of the logstream,
	  it can take a while, until gcc stops the actual build. no change
	  for Linux build.

2006-08-31 14:15  tiwag

svn2934:  * Build options -> "Project/Target change with changed
	  settings" dialog changed to AnnoyingDialog

2006-08-31 12:48  mandrav

svn2933:  - Fix for out-of-place autotools builds.

2006-08-31 12:42  mandrav

svn2932:  - Added check for gtk+-2.0 existence in configure.in.

2006-08-31 11:42  tiwag

svn2931:  - wxWidgets project wizard updated to add the compiler
	  definition
	  __WXDEBUG__ when the wx debug-libraries are selected

2006-08-31 11:12  mandrav

svn2930:  * Fixed (improved) "current file's symbols" symbols
	  browser's view filter.

2006-08-31 10:19  mandrav

svn2929:  - Replaced a hardcoded colour in project's tree with a
	  system-provided one.

2006-08-31 10:17  mandrav

svn2928:  * Each of the special folders (globals) in the symbols
	  browser is coloured gray if it contains no tokens.

2006-08-31 09:45  mandrav

svn2927:  * Symbols browser now keeps the special folders with
	  globals on top, when sorting.
	  * Symbols browser doesn't display container tokens (namespaces,
	  classes, enums) in the bottom list.
	  * Fixed bug in symbols browser which would show a + in front of
	  classes even if no children (especially when option to show
	  inheritance is enabled).

2006-08-31 09:11  killerbot

svn2926:  - minor update to previous commit (better focus)

2006-08-31 07:07  killerbot

svn2925:  * CodeCompletion toolbar added which allows to jump to
	  functions of the current editor and also tracks in which function
	  your cursor is (view->toolbars->codecompletion)

2006-08-30 18:58  mandrav

svn2924:  - Removed an empty function.
	  - Added missing #include.

2006-08-30 16:29  mandrav

svn2923:  * Symbols browser, reloaded.
	  * Re-added the option to dock the symbols browser in the project
	  management pane as a tab.
	  * Improved local scope parsing for code-completion.
	  * Correctly recognize constructors when parsing classes.

2006-08-30 14:18  tiwag

svn2922:  - added compiler option -Winvalid-pch to wxWidgets
	  project wizard

2006-08-29 15:23  byo

svn2921:  New wxSmith:
	  * Updated files to compile / debug
	  * Commented wxSmith internal wizard
	  * Some small cleanups

2006-08-29 11:16  tiwag

svn2920:  - windows crashhandler uses "My
	  documents\cb-crash-recover" folder
	  current editor files are saved in a subdirectory of the current
	  date & time
	  files with same name are saved with appended increasing numbers
	  e.g. main.cpp.001, main.cpp.002, ...

2006-08-29 10:33  killerbot

svn2919:  * little clean up in compilergcc and removed incorrect
	  delete at destructor

2006-08-28 17:47  mandrav

svn2918:  * Do not try to build the projects tree if the app is
	  shutting down (rare but possible crash).

2006-08-28 17:45  mandrav

svn2917:  * Added "project symbols" view filter in symbols
	  browser.

2006-08-28 09:22  mandrav

svn2916:  * Fixed crash handler saving to wrong path (one level
	  above).

2006-08-28 08:44  mandrav

svn2915:  * Fixed excessive redraws of the symbols browser.
	  * Fixed code-completion parsing of template-based types of
	  variables/functions.
	  - Minor code cleanup in code-completion.

2006-08-27 15:49  mandrav

svn2914:  * Fixed small bug with preprocessor directives handling
	  in code-completion's parser.
	  - Did I mention the huge speedup of the parser in the last couple
	  commits? Parsing time has been cut down by about 50%.

2006-08-27 14:06  mandrav

svn2913:  * Improved code-completion for local scope.
	  - The code-completion parser now saves the starting and ending
	  line of a function's implementation.
	  - Fixed bug in code-completion's tokenizer when some special
	  characters were found inside comments.

2006-08-27 10:12  mandrav

svn2912:  * Improved "Go to function" internal implementation.
	  * Fixed bug in code-completion's tokenizer with preprocessor
	  directives handling.

2006-08-27 07:39  mandrav

svn2911:  * Added "Remove files" popup menu options for virtual
	  folders.

2006-08-26 13:19  mandrav

svn2910:  - Removed a forgotten debug log entry.

2006-08-26 10:48  mandrav

svn2909:  * Code-completion calltips now break up in multiple
	  lines, if they 're too long.

2006-08-26 09:47  mandrav

svn2908:  * Implemented "save to file" for the disassembly
	  window.

2006-08-26 09:30  mandrav

svn2907:  * Force refresh of the disassembly window when starting
	  a new GDB session.

2006-08-26 09:07  mandrav

svn2906:  * Added support for D language in build dependencies
	  discovery library, depslib (patch #1367).

2006-08-26 08:55  mandrav

svn2905:  * Make all vars in update.bat local (patch #1353).

2006-08-26 08:53  mandrav

svn2904:  * Various compatibility patches for wxMAC:
	  InfoWindow support (patch #1376).
	  Increase default font size (patch #1394).
	  Discover resources, depending if app is bundled or not (patch
	  #1399).

2006-08-26 08:42  mandrav

svn2903:  * Correctly import MSVC* projects under linux (patch
	  #1393).

2006-08-26 08:40  mandrav

svn2902:  * Fixed compilation error in
	  src/plugins/codecompletion/nativeparser.cpp with gcc 3.3 (patch
	  #1391).

2006-08-26 06:51  mandrav

svn2901:  * When editing a virtual target's name, check if the
	  name hasn't been changed and avoid warning that there's another
	  virtual target with the same name.

2006-08-25 17:25  mandrav

svn2900:  * Fixed flashing code-completion list, when no entries
	  in it (would show and then hide, causing a flash).

2006-08-25 16:11  mandrav

svn2899:  * Fixed crash-bug in code-completion parser. Also
	  disabled the handling of typedefs to refine its parsing.

2006-08-25 12:28  mandrav

svn2898:  * Fixed all reported bugs about virtual folders.
	  * Implemented moving virtual folders around (only worked for
	  single files).
	  * Virtual folders are now also properties of the project itself.
	  Meaning you can have empty virtual folders in a project.

2006-08-25 12:14  mandrav

svn2897:  - Committed changed file, forgotten from previous
	  code-completion commit.

2006-08-25 11:43  killerbot

svn2896:  * codesnippets : updated cbp file (windows)

2006-08-25 10:24  mandrav

svn2895:  * Removed "No matches" tooltip when code-completion
	  contains no matches.
	  * Added matching C++ keywords in the code-completion list.

2006-08-25 09:23  mandrav

svn2894:  * Handle special keyword "this" for code-completion.

2006-08-25 08:53  mandrav

svn2893:  * Finally fixed (true!) the symbols browser weirdness.
	  A couple of missing variable initializers in constructors did all
	  the mess...
	  * Re-enabled the option of showing inherited members in the
	  symbols browser.
	  * Added handling of #if[[n]def] preprocessor blocks in
	  code-completion's parser. Currently it accepts the #if part and
	  ignores from the #el[se|if] (if it exists) up to the #endif. The
	  special case "#if 0" will be handled later.

2006-08-24 13:38  mandrav

svn2892:  * Fixed broken code-completion parsing of last few
	  days, manifested by displaying the same symbol multiple times
	  (and as a namespace) in the symbols view.

2006-08-23 18:21  killerbot

svn2891:  * Patch 1387 : OpenedFilesTree did not get updated
	  properly

2006-08-23 17:59  mandrav

svn2890:  * Disabled viewing inherited members in symbols
	  browser. Causes a stack overflow in some big projects (need to
	  investigate further).
	  * Fixed a memory leak in symbols browser.

2006-08-23 12:44  mandrav

svn2889:  * Added virtual folders support for projects.

2006-08-23 12:42  mandrav

svn2888:  * Fix (?) for recent strange behavior of symbols
	  browser.

2006-08-22 14:01  killerbot

svn2887:  * further improvements for codecompletion (local scope)
	  * removed several completion triggers (Fill Ups) (eg : ';' no
	  longer triggers the cc suggestion to be inserted)

2006-08-21 11:00  mandrav

svn2886:  * Updates for determining/handling current scope
	  namespaces in code-completion .

2006-08-21 07:18  killerbot

svn2885:  - InfoWindow : Changed _("") to _T("") because the
	  former interferes with i18n

2006-08-20 21:14  daniel2000

svn2884:  * RPM spec file: Added missing files to filelist

2006-08-20 20:21  mandrav

svn2883:  * Added preliminary support for the "using namespace"
	  directive. Works for file-scope only.

2006-08-20 10:06  mandrav

svn2882:  * Fixed bug with global user vars and paths with
	  spaces.
	  - MacrosManager::ReplaceMacros() no longer uses
	  QuoteStringIfNeeded(), because it's not its job to do it...

2006-08-20 09:13  mandrav

svn2881:  * Fixed recognition of the implementation line for a
	  function token in code-completion. Would store the function's
	  end, instead of the start.
	  * Removed option for symbols browser to dock in the project
	  management notebook. It is now a floating (and docking) window.
	  Fixes bug #8346 too.
	  * Removed false alert for reparsing projects when code-completion
	  options were changed, but no project was open.

2006-08-20 08:40  mandrav

svn2880:  * Correctly update open files list when saving an
	  editor under a different name (bug #8444, patch #1361).

2006-08-19 17:06  mandrav

svn2879:  * Updates to code-completion local scope parsing.

2006-08-19 09:21  thomasdenk

svn2878:  * Disabled globbing in ConsoleRunner

2006-08-18 16:58  killerbot

svn2877:  - Updated contrib plug-ins 'windows' *.cbp to format
	  1.5

2006-08-18 16:42  thomasdenk

svn2876:  - Added .pot files

2006-08-18 15:24  thomasdenk

svn2875:  * Changed _("") to _T("") because the former interferes
	  with i18n
	  - Made "project format updated" message less redundant.

2006-08-18 13:15  mandrav

svn2874:  - Disabled calltips for function pointers in
	  code-completion. Leads to infinite loop in some cases.

2006-08-18 12:39  mandrav

svn2873:  * Added code-completion preliminary support for
	  typedefs:
	  "typedef struct" is not supported
	  "typedef class" is not supported
	  "typedef enum" is not supported
	  Other typedefs are supported. Yes, even function pointers.

2006-08-18 09:02  mandrav

svn2872:  * Changed default settings for code-completion parser:
	  parse local includes, global includes and preprocessor symbols
	  are enabled now. Everyone's settings will be changed to these
	  defaults.
	  - Changed code-completion plugin version number. Was unfair to
	  still be at 0.1 ;).

2006-08-18 08:16  mandrav

svn2871:  - Two new virtual targets added to CodeBlocks*.cbp:
	  "Core app & plugins" and "Third-party libs".
	  - Updated CodeBlocks*.cbp to format 1.5.

2006-08-18 08:12  mandrav

svn2870:  - Fixed current function arguments parsing in
	  code-completion. Didn't work all the time.
	  - Remove all temporary code-completion tokens (like local
	  variables) before starting a new search.

2006-08-17 13:41  mandrav

svn2869:  * Improved function that discovers which is the
	  namespace/function code-completion kicked in.
	  - Some other minor (cosmetic) code-completion bug-fixes.

2006-08-17 09:22  mandrav

svn2868:  * Suppress multiple info windows, if bearing the same
	  message.

2006-08-17 08:48  mandrav

svn2867:  * Fixed bug introduced with virtual targets, where the
	  wrong target would build (based on the toolbar combo target
	  selection).

2006-08-17 08:45  mortenmacfly

svn2866:  - include fixes for GCC compilers not supporting PCH

2006-08-17 07:09  mandrav

svn2865:  * Fixed bug in virtual targets dialog, when trying to
	  edit the name of a virtual target and canceling the name change
	  dialog.

2006-08-16 19:29  killerbot

svn2864:  - copystrings : minor cleanup/include fix
	  - include/pch conflicts resolved

2006-08-16 13:49  mandrav

svn2863:  * Added support for virtual build targets. These are
	  user-defined aliases that group together real build targets. For
	  example, a well-known virtual target is "All". Configuration can
	  be accessed from project properties, "Targets" page, "Virtual
	  targets..." button.
	  - SDK version changed to 1.9.0.
	  - API change for cbProject::[Get|Set]ActiveBuildTarget().
	  - API rename for cbProject::[Get|Set]DefaultExecuteTargetIndex
	  (int) to cbProject::[Get|Set]DefaultExecuteTarget (wxString).

2006-08-16 12:50  mandrav

svn2862:  * Correctly update class-browser when closing a
	  project.

2006-08-16 11:35  mortenmacfly

svn2861:  * CB_Koders plugin: enable search for selection / word
	  under cursor in editor (on right-click in active editor)

2006-08-16 11:33  killerbot

svn2860:  * TODO plug-in : replaced combobox controls by choice
	  controls

2006-08-16 11:05  killerbot

svn2859:  * fixed some pch/include conflicts

2006-08-16 10:51  mandrav

svn2858:  - Fixed the #includes order in a couple of files. PCH
	  must be the very first #included file...

2006-08-16 09:35  mandrav

svn2857:  - Updated unix project file.

2006-08-16 09:26  mandrav

svn2856:  - #include fix.

2006-08-16 09:24  mandrav

svn2855:  * Greatly improved/enhanced code-completion:
	  C/C++ parser is much more accurate now.
	  Code-completion proposals are much more correct, based on
	  context.
	  Functions implementation file and line are now correctly
	  identified.
	  Function arguments tooltips (a.k.a. calltips) are now updated
	  dynamically, while typing, reducing the possible matches based on
	  the number of already typed function arguments.
	  Calltips highlight the current argument (only if one matching
	  function signature because of a scintilla limitation).
	  Improved "Go to declaration/implementation" functionality when
	  right-clicking on a token in the editor.
	  * Removed old (custom) code-completion control.
	  - Built-in code-completion debugging tool for C::B developers.
	  Invoke by double-clicking any symbol in the symbols view, while
	  holding Ctrl and Shift.

2006-08-15 16:47  killerbot

svn2854:  * codesnippets : autobuild tools and post build step to
	  unix cbp

2006-08-15 16:08  killerbot

svn2853:  * add codesnippets plug-in to the contribs (TO DO :
	  autobuild settings)

2006-08-15 15:06  mortenmacfly

svn2852:  - opengl wizard: fixed issue with linux build

2006-08-15 15:00  mortenmacfly

svn2851:  * added opengl wizard (modified to enable unix support,
	  thanks sethjackson)

2006-08-15 11:39  mortenmacfly

svn2850:  * envvars plugin: enable renaming of envvar-keys, take
	  IsChecked into account on edit (do not set envvar if not checked)

2006-08-14 18:35  mandrav

svn2849:  * Fixed issue with caret not staying visible in text
	  boxes of various dialogs.

2006-08-14 16:40  killerbot

svn2848:  * fix to changes of ToolsManager (linux)

2006-08-14 15:08  thomasdenk

svn2847:  - Killed a zombie

2006-08-14 14:50  killerbot

svn2846:  * fixed some unix cbp's of contributed plug-ins (wrong
	  dir for wxscintilla include)

2006-08-14 14:03  killerbot

svn2845:  * Tool(s) : little clean up and rename

2006-08-14 10:57  mortenmacfly

svn2844:  - added cb_coders-unix project file, updated
	  ContribPlugins-unix appropriate

2006-08-14 08:40  mandrav

svn2843:  * Forward all scintilla events to all hooked clients to
	  cbEditor (only a couple of events were forwarded).
	  - Fix for debugger plugin which was consuming the
	  EVT_EDITOR_TOOLTIP, never to be seen by other plugins.
	  * wxAUI fix for GTK crashes.
	  * wxAUI fix when loading a saved perspective and some layout
	  items do not exist anymore: the layout does not break, just
	  ignores the missing items.

2006-08-13 20:14  killerbot

svn2842:  * added horizontal scrollbar to multiselect dialog
	  (according to wx : windows only) (== [ Feature Request #2484 ]
	  Helpfull scrollbar)

2006-08-13 16:32  pecan

svn2841:  - KeyBinder v0.4.24 Reapply recursive UpdateAll() menu
	  scan removed by v0.4.20 reversion
	  - KeyBinder v0.4.24 Reapply multiple key profile update removed
	  by v0.4.20 reverson

2006-08-12 16:41  killerbot

svn2840:  * further include fix

2006-08-12 10:50  mandrav

svn2839:  - MessageManager::DebugLogWarning() and
	  MessageManager::DebugLogError() no longer popup a message box.
	  * Hide code-completion list when the editor loses focus.

2006-08-12 08:54  killerbot

svn2838:  * little include fix

2006-08-11 18:20  mortenmacfly

svn2837:  - cb_koders: another fix, restored wxSmith connectivity

2006-08-11 16:04  killerbot

svn2836:  * fix for previous commit

2006-08-11 12:03  killerbot

svn2835:  * CB_Coders :
	  - includes fixes (location and non precompiled)
	  - const methods
	  - typo
	  - add to contrib plug-ins unix workspace
	  - changed wxCombo to wxChoice (is in this case sufficient and
	  then we don't suffer the wx 2.6.2 bug on linux)

2006-08-11 09:37  mortenmacfly

svn2834:  - updated cb_koders project file to be compatible with
	  other plugins (removed Unicode suffix)

2006-08-11 09:18  mortenmacfly

svn2833:  * added cb_koders to contrib plugins (interface to
	  query the koders.com webpage)

2006-08-11 09:01  tiwag

svn2832:  * wxScintilla patched to work with NUMPAD navigation
	  keys (pageup, pagedown, ...)

2006-08-09 12:31  thomasdenk

svn2831:  Include parsing of _svn directories

2006-08-08 21:13  mandrav

svn2830:  * Made new SDL wizard cross-platform. Also changed
	  sample bitmap.
	  * Fixed wizards layout bug (would cut-off part of some controls
	  on the right side).

2006-08-08 19:44  mandrav

svn2829:  - Fixed (i.e. removed) harmless error message when
	  launching a new wizard.

2006-08-08 19:43  mortenmacfly

svn2828:  * added new SDL scripted wizard (thanks sethjackson,
	  slightly modified)

2006-08-08 12:39  mandrav

svn2827:  * Fixed crash while debugging and selecting some text.
	  Also fixes correctly the previous crash regarding debugger
	  tooltips.

2006-08-08 09:20  mandrav

svn2826:  * Fixed crash when debugger's tooltip is closed, under
	  certain circumstances.

2006-08-07 21:55  ceniza

svn2824:  - Removed INSTALL.TXT... finally.

2006-08-07 18:51  ceniza

svn2823:  * Updated AStyle plugin to use AStyle 1.19 (July 31).

2006-08-07 18:39  mandrav

svn2822:  * Speed-up operation of incremental select list utility
	  dialog.

2006-08-07 17:37  mandrav

svn2821:  * Fixed build when using GCC-4.1+ (thanks to SharkCZ
	  for creating a small test case).

2006-08-07 12:37  mandrav

svn2820:  * Fixed bug in our thread-pool implementation, which
	  would not execute some tasks in specific cases. So, for example,
	  code-completion threads would not parse some files.
	  * Fixed code-completion handling of arrays [].

2006-08-07 10:10  mandrav

svn2819:  * Fixed bug in global variables substitution. Wouldn't
	  substitute GVs while a project was loading, leading to wrong
	  behaviour in certain plugins (like code-completion: it wouldn't
	  parse include dirs defined using GVs).

2006-08-06 16:18  mandrav

svn2818:  * Reset wizards' script state before each run.

2006-08-06 14:50  mandrav

svn2817:  * Added missing #include.

2006-08-04 21:37  killerbot

svn2816:  * forward declarations and 'comment out' unused method
	  arguments

2006-08-04 21:19  mandrav

svn2815:  * Added display of variable address for debugger
	  evaluation tooltips.

2006-08-04 18:22  killerbot

svn2814:  * [ Patch #1271 ] fixes Bug #8254 : Apostrophes in XML
	  file will cause C::B to refuse to load

2006-08-04 17:12  killerbot

svn2813:  * fix for [ Bug #8292 ] Host application field doesn't
	  have browse button

2006-08-04 13:32  killerbot

svn2812:  * "[ Bug #8026 ] Cancel button commits the action"
	  fixed which also resulted in following fixes :
	  + all comboboxes -> choice controls
	  + reduced state
	  + current compiler : be more consistent : use the member instead
	  of each time retrieving from control
	  + project/target : be more consistent : use the members instead
	  of the tree control
	  + all settings can be cancelled out (except advanced settings and
	  compiler add/delete and autodetect)
	  + so no direct applies of several settings
	  + 3 points of save/apply (selection change/compiler
	  change/apply)user will be queried if save is needed in the first
	  2 cases
	  + some methods did not need to be member methods
	  + DoFillTree now does what it says and no other hidden loading of
	  options
	  + AutoDetectCompiler : also backup extra paths
	  + OnEditVarClick : allow that the value remains the same but the
	  key get's renamed
	  + when project changed compiler : not in all cases the user was
	  queried if the targets should change accordingly their compiler
	  and informed that it's best to rebuild the project
	  + when target changed compiler : also inform the user it's best
	  to rebuild the target (similar to project)

2006-08-03 16:50  mandrav

svn2811:  * Yet another autotools fix.

2006-08-03 16:11  mandrav

svn2810:  * Fixed broken linux build.

2006-08-03 13:00  mandrav

svn2809:  * Fixed bug in function-signature parsing for debugger
	  tooltips.

2006-08-03 12:25  mandrav

svn2808:  * Fixed bug in template-code parsing for debugger
	  tooltips.

2006-08-03 10:59  thomasdenk

svn2807:  Forfeit input and error streams when aborting build.

2006-08-03 10:37  mandrav

svn2806:  * Added missing #include.

2006-08-03 10:36  mandrav

svn2805:  * Implemented improved debugger evaluation tooltip
	  window.
	  * Fixed bad memory addresses reported in debugger's MemoryDump
	  window.

2006-08-02 21:48  killerbot

svn2804:  * [ Patch #1177 ] Mac OS X some simple mac compile
	  fixes (slightly adjusted including fix)

2006-08-02 17:06  mandrav

svn2803:  * Removed a couple of error messages displayed when
	  trying to enumerate
	  non-existent dirs (harmless).

2006-08-02 16:47  mortenmacfly

svn2802:  * doxygen cleanup (fixed errors), variables named
	  centre UK'd to center
	  * doxygen file (sdk.doxy) updated to recent version

2006-08-02 11:50  mandrav

svn2801:  * Log some common GDB error messages in the debug log.
	  * When debugging, pointers are dereferenced for tooltips (when
	  hovering the mouse over a variable).
	  * Minor cleanup in C::B standard dirs caching.

2006-08-02 07:02  killerbot

svn2800:  * [ Patch #1262 ] Make lexer

2006-08-01 19:11  killerbot

svn2799:  * [ Patch #1259 ] Wrong path to compiler settings

2006-08-01 12:20  mandrav

svn2798:  * Added ConfigManager::GetFolder() and changed
	  signature and semantics of ConfigManager::LocateDataFile(). It
	  now takes a flags value of known dirs (as a bitmask of SearchDirs
	  enumerators) to specify where to look in. Files located in the
	  user's configuration folder (under $HOME) have precedence over
	  globally installed files.
	  * Exported the above functions to scripts as global functions.
	  * Updated critical parts of the code to use the new way to locate
	  their data files. E.g. PluginManager now looks for plugins in the
	  user's config dir too. The same goes for lexers loading as well
	  as for scripts.
	  * When editing a wizard script, it is saved in the user's config
	  dir now (where the user does have write access for sure). From
	  that point on, the specific wizard is marked in red in the "new
	  from template" dialog to signify the fact that it has been
	  customized (overriding the globally installed script).

2006-07-29 18:26  killerbot

svn2797:  * little include fix (broken in a previous commit)

2006-07-29 16:21  pecan

svn2796:  *KeyBinder v0.4.23 reverted to v0.4.20 (cb 2761)
	  because of exit crashes

2006-07-29 15:32  thomasdenk

svn2795:  Removed "changes take effect on restart" alert.

2006-07-29 12:26  killerbot

svn2794:  * some little include fixes and const adjustments
	  (plugin manager and configuration)

2006-07-27 13:40  thomasdenk

svn2793:  Don't close "Start here" page on "close all editors"

2006-07-27 09:55  killerbot

svn2792:  * show hourglass in case of loading a project/workspace

2006-07-27 09:24  thomasdenk

svn2791:  Freeze and Thaw main window during DnD loading

2006-07-26 20:06  ceniza

svn2790:  * Launch help files even if there'sn't an active editor
	  ("bug" introduced with last change).

2006-07-26 18:39  killerbot

svn2789:  * patch 1227 : makefile lexer sample

2006-07-26 18:25  killerbot

svn2788:  * [ Patch #1233 ] Double-click or Enter runs
	  wizard/template

2006-07-26 18:14  killerbot

svn2787:  * finddlg improvement (disable controls when they are
	  not needed)

2006-07-26 13:25  killerbot

svn2786:  * wishlist.xml typo fixed [Patch #1234]

2006-07-25 20:22  mortenmacfly

svn2785:  * ogre wizard: fixed several issues to work with recent
	  OGRE SDK

2006-07-25 18:16  killerbot

svn2784:  * several fixes with respect to workspaces

2006-07-25 16:50  mortenmacfly

svn2783:  * make "All files" as default filter index for generic
	  file open dialog(s)

2006-07-25 14:38  mortenmacfly

svn2782:  * interprete "Cancel" as success for file extension
	  handler (thus no misleading error if user pressed Cancel in the
	  file extension handler dialog)

2006-07-25 12:14  mortenmacfly

svn2781:  * updated scriptedwizard to allow selection of more
	  than one target on FilePathPanels
	  * updated several single-file wizards to allow selection of more
	  than one target
	  * added "multi target selection" to common_functions script for
	  re-use

2006-07-24 21:54  mortenmacfly

svn2780:  * show details for script compilation errors (thanks
	  sethjackson)

2006-07-24 02:46  ceniza

svn2779:  * Changed the help plugin behavior to always search for
	  the word under the caret.

2006-07-22 21:36  daniel2000

svn2778:  * adapted versioning in RPM spec file to autotools

2006-07-22 18:27  ceniza

svn2777:  * Applied patch #1231 by kkez: Fix for help plugin
	  crash when only one item in the list.

2006-07-20 19:20  mortenmacfly

svn2776:  * debugger: made runing the debugee inside the debugger
	  optional (for remote debugging)
	  * debugger: add setup option disassembly-flavor instruction-set
	  (for e.g. ARM debugging)

2006-07-20 16:36  killerbot

svn2775:  * fixed OptionsRelationType of resource include dirs
	  (got bad values due to unintialized variable) and adjusted some
	  project files which probably had the bad setting due to the bug

2006-07-20 13:12  tiwag

svn2774:  * codeblocks app returns batch-build exit code (when
	  batch-build was active)

2006-07-19 22:45  pecan

svn2773:  *KeyBinder v0.22 - Temporary hack to ignore
	  redefinition of the "Quit" menu item as it causes crashes during
	  CodeBlocks termination.
	  

2006-07-19 14:36  mortenmacfly

svn2772:  * mimehandler: do not operate if file does not exist
	  * projectmanager: do not try to open a non-existent file
	  * pluginmanager: fixed spelling mistake
	  * scriptedwizard: better explanation for file/path selection

2006-07-19 13:56  mortenmacfly

svn2771:  * update of the C::B project file to reflect changes
	  (additions) in the scriptingwizard

2006-07-19 08:59  killerbot

svn2770:  * scriptedwizard : fall back on project compiler or
	  default compiler when no compiler specified for the new target

2006-07-19 08:40  killerbot

svn2769:  * [ Patch #1025 ] CSS Comment style

2006-07-19 07:46  mortenmacfly

svn2768:  * updated several wizards to follow the new scheme
	  (better verification, user guidance for path setup)
	  * added new QT4 project wizard (Thanks sethjackson, slightly
	  modified)

2006-07-19 06:42  ceniza

svn2767:  * Fix: Find and Replace dialogs not getting focus in
	  the text field when changing tab.

2006-07-19 05:45  mortenmacfly

svn2766:  * updated autobuild system to include new empty_file
	  wizard
	  * fixed another dir_nomacro issue (notice: this will be
	  completely rewritten soon according to how it's done in the
	  smartwin wizard)

2006-07-19 05:40  mortenmacfly

svn2765:  * fixed dir_nomacro error on several scripts
	  * added empty_file wizard

2006-07-18 20:35  mortenmacfly

svn2764:  * updated small glitches and images in several project
	  wizards
	  * updated common_function script to include more "default"
	  functions
	  * updated SmartWin project wizard to use new common functions
	  which should be the default for future wizards (if appropriate)

2006-07-18 07:07  killerbot

svn2763:  * some more little adjustments in the autoconf check

2006-07-18 00:22  pecan

svn2762:  *KeyBinder - v0.21 - Removed all dependencies on
	  pushEventHander to avoid leaking handlers on unnotified window
	  closures.
	  * Fixed multiple key profiles not being updated correctly when
	  switching profiles without restarting CB.

2006-07-17 21:01  killerbot

svn2761:  * added Select All/Deselect All buttons to multi select
	  dialog

2006-07-17 20:43  killerbot

svn2760:  * include fix (for non pch builds) for recent changes

2006-07-17 18:04  killerbot

svn2759:  * little adjustment in the autoconf check

2006-07-17 08:59  thomasdenk

svn2758:  Fix per-compiler variable definitions.

2006-07-17 07:36  killerbot

svn2757:  * Patch 1218 : CodeStat window leak not destroying
	  dialog

2006-07-16 22:49  thomasdenk

svn2756:  Removed wxUSleep (very old bug dating from wx 2.4)

2006-07-16 16:13  ceniza

svn2755:  * Added where to find the configuration dialog for both
	  AStyle and Help plugin in their description tab.

2006-07-16 13:28  killerbot

svn2754:  * bug fix : "add recursive : wildcard : remove previous
	  selection" now works correctly, the previous selection did not
	  get unselected, now it does
	  * replace in fileS now correctly remembers it's settings (there
	  used to be a conflict with replace in file settings)

2006-07-15 19:18  killerbot

svn2753:  * removed some left over references to angelscript from
	  codeblocks main project file

2006-07-15 08:17  daniel2000

svn2752:  * Added man page directory to the file list of the RPM
	  spec file

2006-07-13 21:33  byo

svn2750:  Old wxSmith:
	  * Fixed bug with disabled wizard dialog when invalid project
	  configuration

2006-07-13 21:29  mandrav

svn2749:  * Autotools fix for "make install" and "make dist".

2006-07-13 20:21  mandrav

svn2748:  * Fixed broken autotools build of compiler plugin.

2006-07-13 19:18  mandrav

svn2747:  * Fixed broken build (missing 'const' qualifier).

2006-07-13 18:38  killerbot

svn2746:  * also FixupLinkLibraries for extra libraries specified
	  on the compiler settings level (just like on the project and
	  target levels)

2006-07-13 15:52  mandrav

svn2745:  * Added LogBuild() script global function (logs to
	  build log).

2006-07-13 15:41  mandrav

svn2744:  * Script global functions:
	  + Log() now outputs to app log.
	  + added LogDebug()
	  + added LogWarning()
	  + added LogError()

2006-07-13 14:08  mandrav

svn2743:  * Fixed the "create new project" link in "Start here"
	  page to launch the new project wizard.
	  * Renamed IntroPanel to InfoPanel in scriptedwizard. Also added a
	  "Skip" checkbox so that these info panels can be disabled by the
	  user (still needs some layout tweaking).
	  * Updated all wizard scripts for the above changes.

2006-07-13 07:09  mandrav

svn2742:  * "File->New->Empty file" moved to top of the submenu
	  and assigned Ctrl-Shift-N for it.
	  * Creating a new empty file does no longer popup the file
	  selector dialog. This will happen on save. The only side-effect
	  is that the user is no longer asked to add the new file to the
	  active project, since no new file really exists yet.

2006-07-12 21:29  mandrav

svn2741:  * "File->New" now has a submenu with quick shortcuts to
	  the various kinds of wizards. It also has an "empty file" entry
	  which brings back the old way to create an empty file.

2006-07-12 14:43  byo

svn2740:  Old wxSmith:
	  * Adopted wxsDrawingWindow from new wxSmith (should fix many
	  visual problems inside editor)

2006-07-11 22:59  ceniza

svn2739:  * "Locate in" is now implemented as a sub-menu.

2006-07-11 22:04  mandrav

svn2738:  * Minor bugfix.

2006-07-11 22:00  mandrav

svn2737:  * Updated autotools build system.
	  + Added SPEC file for RPMs (thanks Daniel).
	  + Added auto-versioning of package.
	  * Sanity checks in scripted wizard.
	  * Added man pages for codeblocks and cb_console_runner
	  executables.

2006-07-11 21:23  mortenmacfly

svn2736:  * fixed broken update scripts to match removed
	  plugin_wizard and renamed project_wizard

2006-07-11 19:39  mandrav

svn2735:  * Fixed bug with global vars expansion in targets'
	  object/deps output
	  dirs.

2006-07-11 18:03  mandrav

svn2734:  * Removed the old "C::B plugin wizard" plugin
	  (src/plugins/pluginwizard).
	  * Renamed src/plugins/projectwizard to
	  src/plugins/scriptedwizard.
	  * Updated all build files.

2006-07-11 14:04  mandrav

svn2733:  * Added new wizard for creating Code::Blocks plugins.
	  The old plugin (src/plugins/pluginwizard) will be removed
	  shortly.
	  * Various updates in scripted wizard and its scripts.

2006-07-11 07:48  mortenmacfly

svn2732:  * fixed bug in scripts: output file created in root
	  folder if working dir is empty

2006-07-11 06:08  mortenmacfly

svn2731:  * quick-fix as suggested by mandrav to have the project
	  wizards work again

2006-07-10 18:24  ceniza

svn2730:  * Commented all those #pragma in wxPdfDocument which
	  caused problems
	  under Linux.

2006-07-10 14:53  byo

svn2729:  Old wxSmith:
	  * Disabling wizard dialog after clicking "OK"

2006-07-10 14:00  byo

svn2728:  Old wxSmith:
	  * Changed order of identifier enumeration in generated code
	  (identifiers are not sorted now)

2006-07-10 11:16  mandrav

svn2727:  * Added some TODO entries.

2006-07-10 10:57  mandrav

svn2726:  * Fixed broken src/plugins/projectwizard/Makefile.am

2006-07-10 10:56  killerbot

svn2725:  * bug fix : Close all Projects menu entry

2006-07-10 10:25  mandrav

svn2724:  * Fixed a couple of non-working File menu entries.

2006-07-10 08:17  mandrav

svn2723:  * Fixed broken OnLeave() wizard scripts event
	  (accidental commenting of code since yesterday).
	  * Updated Ogre wizard script to work with pre-made SDK or source
	  distribution. Still needs checking under linux.

2006-07-10 07:07  mortenmacfly

svn2722:  * fixed broken automake build (removed reference to
	  languagepanel)

2006-07-10 00:16  ceniza

svn2721:  * You can add URLs as help files now.
	  * Wrote a meaningful description for the plugin.

2006-07-09 21:52  mandrav

svn2720:  * Removed AddLanguagePage() from wizards and replaced
	  it with AddGenericSingleChoiceList().
	  * Added OnGetNextPage and OnGetPrevPage script events for wizard
	  pages.
	  * Autotools' "make install" now installs the SDK headers.
	  * Fixed a couple of broken Makefile.am.
	  * Changed autorevision executable to not break standalone builds
	  (i.e. not svn working copies).

2006-07-09 09:36  mortenmacfly

svn2719:  * envvars plugin: fixed wrong call to OnAttach()
	  (Thanks Thomas)

2006-07-08 20:03  thomasdenk

svn2718:  Changed resource loading in all contrib plugins to new
	  preloading scheme.

2006-07-08 15:55  killerbot

svn2717:  * fixed [ Bug #7022 ] Compiler selection lags behind

2006-07-08 08:54  killerbot

svn2716:  * fixed [ Bug #8084 ] Crash using "replace in files"

2006-07-08 06:30  mandrav

svn2715:  * Removed some gcc warnings.

2006-07-08 06:11  mandrav

svn2714:  * Added missing #includes.

2006-07-07 18:27  mortenmacfly

svn2713:  * use wxHIDE_READONLY for file ope dialog under Windows
	  to avoid confusion
	  * fixed broken compilation due to missing include (cbproject.h)

2006-07-07 16:20  mortenmacfly

svn2712:  * non-PCH-support fixes ((last) part 4, codeblocks
	  contrib plugins compile again)

2006-07-07 16:07  mortenmacfly

svn2711:  * non-PCH-support fixes (part 3, codeblocks default
	  plugins compile again)

2006-07-07 15:35  mortenmacfly

svn2710:  * non-PCH-support fixes (part 2, codeblocks compiles
	  again)

2006-07-07 15:10  mortenmacfly

svn2709:  * non-PCH-support fixes (part 1, libcodeblocks compiles
	  again)

2006-07-07 13:29  mandrav

svn2708:  * Cleaned-up #includes in all SDK files.
	  * GCC 3.3.x fixes for scripting calls.

2006-07-07 11:16  thomasdenk

svn2707:  Skip initialising i18n if it is turned off.

2006-07-07 10:21  thomasdenk

svn2706:  Resource preloading (optimises network load times)
	  Added nullpointer check to editor config dialog
	  Moved timer start out of Autosave's OnAttach method
	  Main window resources are not loaded during batch build

2006-07-07 05:48  mortenmacfly

svn2705:  * now truly added the staticlib wizard

2006-07-06 20:07  mortenmacfly

svn2704:  * added new static library wizard
	  * updated a couple of other wizards to use inherited compiler
	  detection

2006-07-06 19:54  thomasdenk

svn2703:  Disable plugin loading for batch build (saves ca. 2
	  seconds)

2006-07-06 19:25  mortenmacfly

svn2702:  * added win32gui wizard script to project

2006-07-06 19:24  mandrav

svn2701:  * Minor autotools build files update.

2006-07-06 17:52  mortenmacfly

svn2700:  * fixed doxygen erros for SDK documentation

2006-07-06 13:49  mandrav

svn2699:  * Fixed broken syntax colouring when splitting an
	  editor view.

2006-07-06 12:39  mandrav

svn2698:  * Added CompilerFactory::CompilerInheritsFrom() to
	  check if a compiler inherits from a specific compiler ID.
	  * Updated script bindings (and a couple of scripts) for the
	  above.
	  * Debugger plugin now correctly detects which debugger executable
	  to use for debugging.

2006-07-06 11:38  mandrav

svn2697:  * Updated wxSmithWizard to the new cbWizardPlugin
	  interface.
	  * Fixed binding of wxFILE_SEP_PATH (leading to crash when used).

2006-07-06 11:03  mandrav

svn2696:  * Re-organized menus and toolbars. Moved all
	  open/save/close entries from Project menu to File.
	  * Changes in TemplateManager and cbWizardPlugin interfaces.
	  * Fixed UI glitch when removing a log page from MessageManager.

2006-07-06 09:19  mortenmacfly

svn2695:  * added new win32gui wizard

2006-07-06 08:15  mandrav

svn2694:  * In GDB debugger, force unwinding of stack on signal
	  by default.

2006-07-06 08:04  mortenmacfly

svn2692:  * set wxHIDE_READONLY flag to all file open dialogs to
	  avoid confusions under Win32

2006-07-05 18:17  mandrav

svn2691:  * Re-designed the way breakpoints are kept in-sync with
	  the editors,
	  when adding/removing lines in them. It's also a lot faster now,
	  minimizing the delay when selecting many lines and pressing
	  "Delete".

2006-07-05 18:16  mandrav

svn2690:  * InfoWindow linux fix.

2006-07-05 14:33  thomasdenk

svn2689:  Do not save window state in batch build

2006-07-05 13:00  mandrav

svn2688:  * Replaced dialogs about SDK version mismatch (on
	  plugin loading) with one InfoWindow for all failed plugins
	  (details logged in application log).

2006-07-05 12:27  mandrav

svn2687:  * Removed an extra qualification.

2006-07-05 11:38  thomasdenk

svn2686:  Entirely removed m_pTopEditor from ProjectManager

2006-07-05 11:33  mandrav

svn2685:  * Added missing src/wxsmith/Makefile.am.

2006-07-05 11:31  mandrav

svn2684:  * Added missing MessageManager::RemoveLog(int).
	  * Added ScriptingManager::LoadBuffer().
	  * Added "View->Script console" menu.
	  * Removed "File->Run script". Use the script console for that
	  now.

2006-07-05 11:11  thomasdenk

svn2683:  Cleanup

2006-07-05 09:58  thomasdenk

svn2682:  Fixed path handling bug

2006-07-05 09:36  thomasdenk

svn2681:  Changed signature of crash handler to use a typedef

2006-07-05 08:20  mandrav

svn2680:  * Fix for DirectX wizard script.

2006-07-04 23:46  ceniza

svn2679:  * A CHM file can finally be launched using "Locate in"
	  without it being always on top of Code::Blocks.

2006-07-04 22:33  mandrav

svn2678:  * Updated autotools build files.

2006-07-04 21:59  mandrav

svn2677:  * Updated autotools build files.

2006-07-04 21:07  mortenmacfly

svn2676:  * added new DLL project wizard
	  * fixed crash with console wizard (wxFILE_SEP_PATH)

2006-07-04 19:41  thomasdenk

svn2675:  Prefs loading

2006-07-04 17:53  thomasdenk

svn2674:  Feature request #2355 : Raised limit of right margin
	  hint spin control beyond 100

2006-07-04 17:12  mandrav

svn2673:  * Fixed broken compilation for some contrib plugins
	  under linux.
	  * Fixed InfoWindow to work under linux.

2006-07-04 16:16  mortenmacfly

svn2672:  * fixed couple of bugs in wizard scripts
	  * added direct/x wizard

2006-07-04 15:17  thomasdenk

svn2671:  Bug #7979 : Crash when closing open editor during
	  workspace loading

2006-07-04 13:14  mandrav

svn2670:  * Updated all wizard scripts and removed some unneeded
	  XRCs since the addition of AddGenericSelectPathPage().

2006-07-04 12:30  mandrav

svn2669:  * Fixed on-screen centering of global user variables
	  manager dialog.
	  * Updated wizard scripts to use GetUserVariableManager().Exists()
	  for global user vars.

2006-07-04 12:09  mandrav

svn2668:  * Fixed preempting of global user variables. The dialog
	  to define any unknown GUVs is popped after all projects have been
	  loaded.
	  * Exposed GetUserVariableManager() and
	  UserVariableManager::Exists() in scripts.

2006-07-04 11:12  thomasdenk

svn2667:  Added Exists() function

2006-07-04 10:47  mandrav

svn2666:  * Bugfix for build scripts loading.

2006-07-04 09:13  thomasdenk

svn2665:  Replaced messagebox with info window

2006-07-04 08:58  mandrav

svn2664:  * Merged cf to trunk (revisions 2093 to 2662) except
	  the new build system and the updater.

2006-07-04 07:59  killerbot

svn2663:  * fix for [ Bug #7480 ] Changing template categories:
	  wrong templates displayed :
	  - wxChoice instead of wxComboBox
	  - include of wx/choice.h added to our precompiled list
	  (sdk_common.h)
	  - some include fixes

2006-07-03 20:23  killerbot

svn2661:  * a test in fixing/workaround wx262 wxComboBox bug on
	  linux

2006-07-03 16:03  mandrav

svn2660:  * Fixed all SqPlus build warnings.

2006-07-03 14:57  byo

svn2659:  Old wxSmith:
	  * Added setting up names in code creating window

2006-07-03 13:49  mandrav

svn2658:  * Merged scripting_squirrel to trunk (revisions 2614 to
	  2657).

2006-07-01 13:34  byo

svn2652:  Old wxSmith:
	  * Fixed bug #8030 - Few typos in wizard dialog
	  * Fixed bug #8031 - No effect setting main frame title in wxSmith
	  project wizard

2006-07-01 08:29  mortenmacfly

svn2650:  * fixed gdb crashes when operating on
	  std::[string/vector] and wxString pointers ("backport" from
	  squirrel branch)

2006-06-30 20:11  mandrav

svn2648:  * Fixed crash when rebuilding after having closed a
	  project which was a
	  dependency for other projects in the workspace. This also fixes a
	  hang,
	  under certain circumstances, also when closing
	  projects/workspace.

2006-06-30 11:22  killerbot

svn2645:  * [ Patch #1176 ] Feature Request #2308: Multiline
	  Program Parameters

2006-06-30 00:43  pecan

svn2643:  *DragScroll changes for plugin interface 1.80. Needed
	  <sdk.h>

2006-06-29 22:05  byo

svn2642:  Old wxSmith:
	  * Modified current resources to use wxStdDialogButtonSizer
	  * Added missing <wx/button.h> to list of includes when using
	  wxStdDialogButtonSizer

2006-06-29 19:41  killerbot

svn2641:  * include fixes and some rewrite to support gcc 3.3.6

2006-06-29 16:45  byo

svn2640:  Old wxSmith:
	  * Added better support for wxStdDialogButtonSizer (buttons are
	  integral part of this widget)

2006-06-29 05:37  killerbot

svn2634:  * typo

2006-06-28 19:46  killerbot

svn2630:  * "close a tab by clicking it with the middle mouse
	  button" tip added

2006-06-28 19:34  killerbot

svn2628:  * fix uncomment unicode bug (don't use
	  wxScintilla::Replace() on unicode, non western characters)

2006-06-28 16:23  byo

svn2627:  Old wxSmith:
	  * Fixed bug #7967 - XRC and WXS files often not in sync

2006-06-27 18:11  ceniza

svn2620:  * Applied Morten's patch to ToolsManager so it can also
	  launch tools detached from it.

2006-06-27 07:45  killerbot

svn2618:  * replace now honors the scope when search in selection
	  (was due to a bug in the retrieval from the replace dialog)

2006-06-26 23:00  ceniza

svn2617:  * Added evil hack which will, hopefully, help GCC < 3.4
	  compile the ThreadPool (based on pecan's patch).

2006-06-26 20:39  killerbot

svn2615:  * search in file : when not founf in the "requested"
	  scope, no need to give wrap around a try

2006-06-26 10:57  killerbot

svn2612:  * registrey key issue in detection of BCC compiler
	  fixed

2006-06-26 08:48  byo

svn2611:  Old wxSmith:
	  * Old files may be left without change in overwrite prompt when
	  creating new resource
	  * Adjusted some project settings to be able to debug directly
	  from wxSmith project
	  * Fixed crash when closing project
	  * Added wxUSE_UNICODE define in wxsWizard when creating unicode
	  project

2006-06-25 16:04  killerbot

svn2610:  * fixed compiler detection on non english windows (in
	  other languages "Program Files" could as well be "Programme" or
	  "Programmi", derive it from the environment variable
	  ProgramFiles) (TODO : 64bit)

2006-06-25 13:08  killerbot

svn2609:  * Searching : several fixes/changes (NOTE : analog
	  changes for replacing will also be done)
	  - search in selection (find next/previous) remain in the scope of
	  that selection
	  - fixed warning (gcc 4.x) : due to dead(unreachable) code (made
	  that code back alive)
	  - made some search functions private

2006-06-25 10:33  thomasdenk

svn2608:  Patch #1156 : In "select target" dialog, move OK button
	  up in tab order hierarchy (Linux usability fix)

2006-06-25 10:18  thomasdenk

svn2607:  Patch #1143 : Add Search in Projecttree tip to tips.txt

2006-06-25 10:17  thomasdenk

svn2606:  Patch #1151 : use <li> tag for recent file list

2006-06-23 21:17  killerbot

svn2605:  * byogames : includes and const's

2006-06-23 20:07  byo

svn2603:  byogames: overwork message disabled by default

2006-06-23 12:22  killerbot

svn2601:  * include fix

2006-06-22 16:54  byo

svn2599:  byogames: Added snake

2006-06-21 22:11  byo

svn2598:  byogames: Added few missing header files

2006-06-21 22:06  byo

svn2597:  byogames: Added configuration panel

2006-06-21 22:00  killerbot

svn2596:  * sdk_common.h : do not include wx.h if no precompiled
	  headers usage and adjust code that broke because of this when
	  compiling without pch's

2006-06-21 15:03  tiwag

svn2593:  * byogames-unix projectfile added
	  * grid lines display adjusted

2006-06-21 07:38  byo

svn2589:  byogames: another approach to fix background-bug

2006-06-20 22:38  byo

svn2588:  byogames:
	  * Added grid-mode and cbp fixes (made by tiwag)
	  * Number of points in use-grid mode is 2 times fewer than without
	  grid
	  * Removed Back-To-Work notify message bug after closing the game

2006-06-20 21:45  thomasdenk

svn2587:  Restrict crash handler to segfault and illegal
	  instruction

2006-06-20 10:48  mortenmacfly

svn2586:  * updated profiler to look inside project's working dir
	  for gmon.out, too (incl. minor code cleanup)

2006-06-20 10:35  byo

svn2585:  Updated byogames to work on linux

2006-06-20 09:08  thomasdenk

svn2584:  Committed a file.

2006-06-20 08:43  mortenmacfly

svn2583:  * fixed automake issue that caused broken compilation
	  under linux

2006-06-20 07:25  killerbot

svn2582:  * improved BCC compiler options (thanks to Nils)

2006-06-19 22:53  byo

svn2581:  Added base class for game window
	  Added more sophisticated back-to-work-timer

2006-06-17 21:23  mortenmacfly

svn2580:  * made icons for find/replace consistent
	  * added icons for find next / find previous

2006-06-17 21:23  mortenmacfly

svn2579:  * fixed wrong message for cleaning workspace

2006-06-16 18:31  killerbot

svn2578:  * debian changelog change

2006-06-16 16:24  ceniza

svn2577:  * Updated the plugin description so it names the right
	  version of AStyle being used now.

2006-06-16 15:30  mandrav

svn2576:  * Added autotools and linux C::B build files for
	  BYOgames plugin.

2006-06-16 11:17  mandrav

svn2575:  * Added "back-to-work" timer class in byogames (people
	  need to be reminded to get back to work!).

2006-06-16 08:27  mandrav

svn2574:  * Added "BYOgames" entertainment plugin (windows only -
	  linux files later today).

2006-06-15 10:13  mandrav

svn2573:  * CrashHandler uses now dynamic linkage to install. If
	  the target windows version doesn't support it, it won't be
	  installed.

2006-06-15 10:05  mandrav

svn2572:  * Fixed editor UI glitch when creating it.

2006-06-15 08:32  mandrav

svn2571:  * Corrected last workspace-bug fix.

2006-06-15 08:19  ceniza

svn2570:  * Changed define for EVT_PIPEDPROCESS_TERMINATED, which
	  seems to solve the crash when using ToolsManager compiled with
	  GCC 4.1.x

2006-06-15 07:53  ceniza

svn2569:  * Updated to AStyle 1.18.
	  * Divided the option "Insert space padding around parentheses"
	  into "Outside" and "Inside" (due to new options in AStyle 1.18).

2006-06-15 07:47  mandrav

svn2568:  * Fixed so that it's not asking for workspace
	  modifications when the blank workspace is open.

2006-06-15 07:42  killerbot

svn2567:  * provided menu entry and accelarator shortcut for
	  replace in files (similar to find in files)
	  * when find/replace in file is called without an active editor is
	  falls back to find/replace in files

2006-06-15 06:29  pecan

svn2566:  *Keybinder - Missing editormanager header needed for
	  Linux

2006-06-14 14:02  mandrav

svn2565:  * Fixed broken split-view (have to fix the flicker when
	  creating the editor though).

2006-06-14 11:10  mandrav

svn2564:  * Added replace-in-files functionality (based on patch
	  #1125 with many updates and fixes).

2006-06-14 09:17  mandrav

svn2563:  * Fixed crash on double-clicking a workspace in the
	  "Start here" page (patch #1114).
	  * Minor updates in "Start here" page (patch #1115).
	  * Added "File->Open default workspace" menu entry (patch #1124).
	  * Fixed bug in workspace loading code. If the workspace file
	  couldn't be opened, the IDE would fail to open any more
	  workspaces or projects until app shutdown.

2006-06-14 08:50  mandrav

svn2562:  * Project wizard updates (also updated the wxWidgets
	  script).
	  * Exposed cbProject::GetFileByFilename() to scripts.
	  * Changed the default PCH mode to "alongside original header".

2006-06-14 08:24  mandrav

svn2561:  * Possible bug fix in editor split view code.

2006-06-14 08:19  mandrav

svn2560:  * Added "split view" menu in notebook tabs' context
	  menu.

2006-06-14 07:52  byo

svn2559:  Old wxSmith:
	  * Added <wx/settings.h> to set of default include files

2006-06-14 07:34  mandrav

svn2558:  * Fixed bug with line numbers margin when splitting an
	  editor view and the margin's width was set to "dynamic".

2006-06-14 07:29  mandrav

svn2557:  * Fixed breakpoints syncing when deleting the lines
	  that contain them.

2006-06-13 22:12  pecan

svn2556:  Keybinder - Fixes to support a last minute RC3 feature
	  addition.

2006-06-13 22:10  pecan

svn2555:  DragScroll - Hacks to support last minute CodeBlock
	  freatures

2006-06-13 21:28  mortenmacfly

svn2554:  * fixed breakpoints near EOF not being removed on
	  delete in editor

2006-06-13 15:38  byo

svn2553:  Old wxSmith:
	  * Fixed bug blocking selecting some items on linux

2006-06-13 13:54  byo

svn2552:  Old wxSmith:
	  * Adopted to const qualifiers in new EditorBase class

2006-06-12 13:25  killerbot

svn2551:  * bugfix : when a project/file is reopened : make it
	  the most recent entry in the recent menus and "start here"
	  page(was a bug in windows version)

2006-06-12 12:54  killerbot

svn2550:  * sweating the const correctness (part 3)

2006-06-12 11:24  killerbot

svn2549:  * sweating the const correctness (part 2)

2006-06-12 10:31  killerbot

svn2548:  * swaeting the const correctness (part 1)

2006-06-12 08:46  byo

svn2547:  Fixed bug on linux causing wxSmith editor to reopen
	  itself after closing it

2006-06-12 02:58  ceniza

svn2546:  * Fixed the bug of not being able to save a file,
	  "introduced" in revision 2544.
	  * NOTE: due to its nature there could be more like this one.

2006-06-11 23:34  ceniza

svn2545:  * Removed extra qualification in destructor of
	  cbEditorInternalData.

2006-06-10 21:26  mandrav

svn2544:  * Added split-view in editors. Right-click inside an
	  editor and click "Split view" to split/unsplit it.

2006-06-10 11:13  byo

svn2543:  Old wxSmith:
	  * Added few improvements to Listbook, Choicebook and Notebook

2006-06-09 21:58  byo

svn2542:  Old wxSmith:
	  * resource preview is not automatically layouting itself when
	  position changes

2006-06-09 14:13  mandrav

svn2541:  * Added Makefile and properties lexers (forgot to add
	  them in last commit).

2006-06-09 13:44  mandrav

svn2540:  * Fixed changing values of watched variables (patch
	  #1113).
	  * Catch all signals in GDB, not only SIGSEGV (patch #1106).
	  * Don't start compiler names (internal) with an underscore (patch
	  #1112).
	  * Renamed console_runner(.exe) to cb_console_runner(.exe) (patch
	  #1107).
	  * Changed autotools wxGTK version check from 2.4.2 to 2.6.0
	  (patch #1111).
	  * Unfold editor lines if user turns folding off (patch #1110).
	  * Update debug windows even if source file is not available
	  (patch #1109).
	  * Set windres.exe regexes to have higher priority than others
	  (patch #1108).
	  * Fix for compiler errors limit (patch #1103).
	  * Fixed unfolding on build error (patch #1102).
	  * Fixed "Fold all on file open" (patch #1104).
	  * Fixed minor build error on GCC > 4.0 (patch #1077).
	  * Added Makefile lexer (patch #1097).
	  * Added properties/cfg/ini lexer (patch #1098).

2006-06-08 12:15  mandrav

svn2539:  * Hopefully fixed all breakpoint syncing issues when
	  adding/removing lines in the editor.

2006-06-08 08:37  killerbot

svn2538:  * removed some compiler warnings

2006-06-06 11:25  killerbot

svn2537:  * fix line numbering bug (caused by patch 1074 ) : when
	  editor was modified, it would switch on the line numbering
	  although it was set to be hidden

2006-06-05 22:44  byo

svn2536:  Old wxSmith:
	  * Project files updated to keep wxSmith configuration inside .cbp
	  files
	  * Added unicode flag to wizard
	  * Fixed some bugs in wizard

2006-06-05 14:55  mortenmacfly

svn2535:  * SDK (Doxygen) documentation update

2006-06-05 10:59  mortenmacfly

svn2534:  * envvars: apply environment variabes on C::B startup,
	  too

2006-06-05 09:54  mandrav

svn2533:  * Added dependency for the "zip" package for debian
	  package builds (patch #1076).

2006-06-05 09:53  mandrav

svn2532:  * Set FindInFiles log font & size like all other logs
	  (patch #1071).

2006-06-05 09:51  mandrav

svn2531:  * Added "dynamic" adjusting of the line numbers margin
	  width in editor settings (patch #1074).

2006-06-05 09:44  mandrav

svn2530:  * Separated HTML lexer from XML (patch #1091).

2006-06-05 09:39  mandrav

svn2529:  * Added the "scripts" folder to autotools installation
	  procedure (patch #7735).

2006-06-04 18:38  cyberkoa

svn2528:  Modify the checking condition in GetProducingCode in
	  order to be consistent with MyCreatePreview

2006-06-04 01:34  ceniza

svn2527:  * Removed extra qualification in
	  ASFormatter::isSequenceReached.

2006-06-03 10:07  byo

svn2526:  Old wxSmith:
	  * Removed bug causing seg fault when adding item at invalid
	  place.
	  * Added wxInitAllImageHandlers() to application's code

2006-06-03 06:20  cyberkoa

svn2525:  Fix bug for wxStaticBitmap
	  1. Various fixes for wxStaticBitmap with no image selected.
	  2. The image will be loaded with the original size instead of the
	  widget size.
	  
	  3. Include extra header file <image.h> when generating code
	  4. Simplified the code and remove unnecessary functions and
	  variable.

2006-06-02 22:47  byo

svn2524:  Old wxSmith:
	  * wxSmith configuration is now stored inside .cbp file.

2006-06-02 02:47  ceniza

svn2523:  * Updated AStyle to version 1.17 (25-May-2006).
	  * Updated the project files, Makefile.am and plugin itself
	  accordingly.
	  * ASStreamIterator is back.

2006-06-02 01:27  ceniza

svn2522:  * Updated Makefile.am for Source Exporter.

2006-06-02 00:33  ceniza

svn2521:  * Updated wxPdfDocument to 0.7.

2006-06-01 08:26  mandrav

svn2520:  * Updated std::string evaluation in GDB's script.

2006-06-01 03:47  ceniza

svn2518:  * Decided to replace the call to wxString::ToLong to a
	  handwritten wxStrHexTo<T> reading from the second match (this
	  fixes a minor bug that could arise with the previous fix).

2006-06-01 01:35  ceniza

svn2517:  * Fixed bug #7707 which happened to be a problem with
	  wxWidgets when reading a hexadecimal number using
	  wxString::ToLong and that number was a negative one.

2006-05-31 12:04  byo

svn2516:  Old wxSmith:
	  * Added additional visibility checking of children inside
	  wxNotebook, wxListbook, wxChoicebook
	  * update.bat / update are copying .png images only

2006-05-31 09:07  byo

svn2515:  Old wxSmith:
	  * Removed Undo / Redo crash
	  * Added scrollbars to configuration buttons on the right side (it
	  won't overlap with palette now)
	  * Added anti-crash checking in quick properties panels (quick
	  properties panel was able to read from widget after it was
	  deleted)
	  * Added anti-crash check into dragging window when the content is
	  being recreated
	  * Hidden flag is not applied inside editor

2006-05-30 10:18  killerbot

svn2514:  * little modification (comment : "//")

2006-05-29 14:55  killerbot

svn2513:  * fix : don't ask to save project file due to
	  'internal' modifications/upgrading when running in batch build
	  mode (note : a follow-up commit might be pending, but no panic it
	  works)

2006-05-29 09:17  mandrav

svn2512:  * Fixed issues with debugger breakpoints being screwed
	  when adding/removing lines before them.
	  * Fixed setting breakpoints for the correct file, if more than
	  one projects are open and contain similarly named files (e.g.
	  main.cpp).

2006-05-26 19:25  ceniza

svn2511:  * Added virtual destructor to cbThreadedTask.
	  * Removed timer from the Tools Manager.

2006-05-25 20:09  mandrav

svn2510:  * Fixed batch-builds crashes.

2006-05-25 12:04  mandrav

svn2509:  * The custom code-completion control is no longer the
	  default choice for windows platforms.

2006-05-25 11:31  mandrav

svn2508:  * For windows platforms, don't add duplicate
	  files/projects to recent files history if they only differ in
	  case (bug #7547).

2006-05-25 11:25  mandrav

svn2507:  * When debugging and hitting a breakpoint, make sure
	  the editor's line is unfolded if needed (bug #7579).

2006-05-25 11:12  mandrav

svn2506:  * If a project is closed while it is being debugged,
	  correctly shut down the debugger.
	  * When debugging and the user (or the app) tries to activate
	  another project, the user is offered two choices: a) end
	  debugging or b) re-activate the debuggee.

2006-05-25 10:49  mandrav

svn2505:  * Fixed issues when adding a file to the project as an
	  absolute path (mainly confused the debugger). Shouldn't be
	  allowed anyway, except for files residing in another drive
	  (windows only).

2006-05-25 10:24  mandrav

svn2504:  * Fixed broken build on sdk/pipedprocess.cpp (ANSI).

2006-05-25 09:02  mandrav

svn2502:  * Possible fix for wrong debugger's output parsing in
	  some cases (when special chars would be printed).

2006-05-25 08:09  mandrav

svn2501:  * Fixed crash when "cleaning" a file not belonging to a
	  project (and no project was open earlier).

2006-05-24 21:49  byo

svn2500:  wxSmith redesign:
	  * Added missing style initialization.

2006-05-24 13:46  mandrav

svn2499:  * Fixed symbols browser UI glitch when docked in the
	  project manager notebook.

2006-05-24 12:06  mandrav

svn2498:  * When a file's attributes change from/to
	  read-only/read-write, it no longer requires a file reload. It
	  also works fine now.
	  * Read-only files no longer have this gray background color.

2006-05-24 10:14  mandrav

svn2497:  * Fixed (removed) a compiler warning.

2006-05-24 10:11  mandrav

svn2496:  * Fixed crash when batch-building and the project file
	  is malformed (invalid).

2006-05-24 09:53  mandrav

svn2495:  * Added option in editor's margin settings to allow
	  adding/removing breakpoints by left-clicking (or not).

2006-05-24 08:43  mandrav

svn2494:  * Don't show messages about upgrading the project file
	  (and so don't mark it dirty), if program runs in batch-build
	  mode.
	  * Added more information text in "Settings->Compiler and
	  debugger->Batch builds" page.

2006-05-24 08:21  mandrav

svn2493:  * Fixed and improved
	  DebuggerTree::FindCharOutsideQuotes() to correctly account for
	  single/double quotes and all combinations of one inside each
	  other.

2006-05-24 08:10  mandrav

svn2492:  * Fixed debugger's watches broken parsing when { or }
	  appeared inside single-quotes (i.e. "{" worked fine where '{'
	  would fail).

2006-05-23 21:21  byo

svn2491:  wxSmith redesign:
	  * wxsWindowEditorContent stores wxsTtem->wxWindow* map. That
	  increased code security.
	  * Added data correction (with filling empty properties) when
	  importing XRC file
	  * Some other small code changes (code cosmetics)

2006-05-23 16:35  thomasdenk

svn2490:  Code completion placement fix

2006-05-23 09:28  mandrav

svn2489:  * Fixed a typo in GDB's command-line arguments, when
	  attaching to PID.
	  * Two new variables have been added in GDB debugger that contain
	  GDB's actual major and minor version numbers.

2006-05-23 07:46  mandrav

svn2488:  * When a build fails, always jump to the first error.
	  * When a build finishes, successfully or not, always return the
	  input focus to the active editor (if any).

2006-05-22 19:21  mortenmacfly

svn2487:  * fixed broken build under linux (missing include)

2006-05-22 18:26  thomasdenk

svn2486:  Patch #1064 : Fixes for ToolsManager crashing with
	  localized CB

2006-05-22 18:14  thomasdenk

svn2485:  Patch #1065 : Margin width, also: renamed gutter

2006-05-22 14:32  mortenmacfly

svn2484:  * added project wizard (new format) for a GLUT
	  application
	  * updated Code::Blocks project files to include GLFW and GLUT
	  files

2006-05-22 09:10  mortenmacfly

svn2483:  * added project wizard (new format) for a GLFW
	  application

2006-05-22 09:07  mandrav

svn2482:  * Fixed message manager auto-hiding to respect the user
	  settings in environment options.
	  * Exposed "Info" (normal) type for compiler regexes in advanced
	  compiler options.
	  * Added project/target header in build messages (updated whenever
	  the currently compiling project/target changes).
	  * Cleaned up some logging code in compiler plugin.

2006-05-21 06:08  cyberkoa

svn2481:  wxFlexGridSizer,wxGridSizer
	  - Constructor Code parameters should be row,col,vgap,hgap instead
	  of col,row,vgap,hgap
	  
	  - Small modification of code to keep the consistency of coding
	  
	  wxCalendarctrl
	  - Code generated wrong for wxDateTime
	  
	  wxDatePickerCtrl
	  - - Small modification of code to keep the consistency of coding

2006-05-21 02:41  ceniza

svn2480:  * Replaced the condition with a semaphore (just like
	  the previous implementation).

2006-05-20 20:47  thomasdenk

svn2479:  Restored optimisations that were accidentially reverted
	  in 2472

2006-05-20 18:45  ceniza

svn2478:  * Changed the pool's condition lifetime.

2006-05-20 15:16  ceniza

svn2477:  * Fixed case in include.
	  * Added cbthreadedtask.h to sdk/Makefile.am

2006-05-20 14:39  ceniza

svn2476:  * Replaced the thread pool implementation.
	  * Modified code completion to use the new thread pool.
	  * Pool forced to 1 worker thread (code completion will crash with
	  more than 1 worker thread).
	  * Updated the project files accordingly.

2006-05-20 03:52  ceniza

svn2475:  * Added a missing return value to an Entry method (just
	  to avoid a warning). Thanks go to Morten.

2006-05-19 21:14  byo

svn2474:  wxSmith redesign:
	  * Fixed bug when changing sub-container properties (it did not
	  recreate editor preview)
	  * Added default sizer properties to configuration panel

2006-05-19 04:30  cyberkoa

svn2473:  1. << BmpCode.empty() ? _T("wxNullBitmap") : BmpCode <<
	  _T(",") in BuildCreatingCode cause the broke the stream , solved
	  by adding parenthesis
	  
	  2. Add in <wx/artprov.h> in the declaration generated.

2006-05-17 14:57  thomasdenk

svn2472:  Code Britisation

2006-05-16 22:26  ceniza

svn2471:  * A Tool has now the following launching options:
	  - New console window (no piping)
	  - Hidden (output piped)
	  - Visible (no piping)
	  + All previously saved Tools will default to "New console
	  window".
	  + NOTE: Application crash if the resources aren't updated
	  (update.bat / update).

2006-05-16 21:49  byo

svn2470:  wxSmith redesign:
	  * Implemented hiding children after fetching background in
	  wxsDrawingWindow - selection boxes and other are no more hidden
	  on Windows
	  * Fixed bugs with default colour in wxsColourProperty
	  * Added constructor for wxsFontProperty (no more random font
	  generated)
	  * Added initialization of properties in wxsBaseProperties class
	  (no more random colour etc)

2006-05-16 15:23  byo

svn2469:  wxSmith redesign:
	  * Fixed bugs for editor area using scrollbars

2006-05-16 12:27  mandrav

svn2468:  * Fixed arguments passing to executable when running
	  under the debugger (bug #7287).

2006-05-16 11:54  mandrav

svn2467:  * When creating a new project and one of the project
	  files already existed (and then renamed), the new project would
	  reference the already existing file and not the renamed one (bug
	  #7099, patch #1043).

2006-05-16 11:49  mandrav

svn2466:  * Minor clean-up regarding the splash screen (patch
	  #1057).

2006-05-16 11:47  killerbot

svn2465:  * file new/open now remembers it's last directory/mask

2006-05-16 11:41  mandrav

svn2464:  * Remember user's preferred templates: file-based
	  and/or wizard-based (feature request #2209).

2006-05-16 11:21  mandrav

svn2459:  * Enhanced configuration for message manager auto-hide:
	  can be enabled to selectively auto-show on search-in-files, build
	  errors and build warnings.

2006-05-16 10:26  mandrav

svn2458:  * Fixed rare debugger freeze (only "stop" button
	  working). Usually happened when watching uninitialized "char*"
	  variables.

2006-05-16 09:53  mandrav

svn2457:  * Compiler and debugger are now aware of each other
	  and. If the compiler sees that the debugger is active, it aborts
	  the build. Similarly, if the debugger sees that the compiler is
	  active, it aborts the debugging session.

2006-05-16 09:27  mandrav

svn2456:  * Fixed issues with debugger breakpoints.

2006-05-16 02:36  ceniza

svn2454:  * Ghost application when launching tool without console
	  bug fixed.

2006-05-15 21:45  thomasdenk

svn2453:  Added a null-pointer check, removed an unnecessary if.

2006-05-15 21:03  thomasdenk

svn2452:  Patch #1039 : Missing slash for editor zoom

2006-05-15 12:12  mandrav

svn2451:  * Fixed broken cbAssert() macro and added
	  cbAssertNonFatal() macro that displays an assertion error dialog
	  but doesn't kill the app.

2006-05-14 15:17  ceniza

svn2450:  * Removed the paint-once behavior from the
	  SplashScreen.

2006-05-12 19:58  killerbot

svn2449:  * codestat : incorrect use of SetLabel, should be
	  SetValue (thx Der Meister)

2006-05-12 12:39  thomasdenk

svn2448:  Added filename parts to active editor variable.

2006-05-12 11:18  thomasdenk

svn2447:  Forgot zero-initialising a variable...

2006-05-12 11:11  thomasdenk

svn2446:  Fixed typo

2006-05-12 11:09  thomasdenk

svn2445:  Optimised lexer loading

2006-05-12 07:58  mortenmacfly

svn2444:  * added support for debugging into Fortran sources
	  * added support for Fortran file filters/associations (f, f77,
	  f95 so far)

2006-05-12 07:58  thomasdenk

svn2443:  Removed --clear-configuration switch

2006-05-11 20:44  killerbot

svn2442:  * little update to previous commit

2006-05-11 20:35  killerbot

svn2441:  * compiler/build macros : $link_flat_objects : list of
	  "flat" objects (flat : output_dir + name + '.' + extension (other
	  dir structures are removed))

2006-05-11 17:07  byo

svn2440:  wxSmith redesign:
	  * Added scrollbars to editor area
	  * Default sizer properties are now read from configuration
	  * Some other small fixes

2006-05-11 16:14  mortenmacfly

svn2439:  * added ability to toggle an env.var instead of
	  removing * inform the user if (un)setting an env-var fails *
	  changed project settings to compile as stand-alone

2006-05-09 12:22  mortenmacfly

svn2438:  * fixed wrong name of zip file

2006-05-09 12:12  mandrav

svn2437:  * Disabled pre/post build steps builds options page,
	  when using custom Makefile.

2006-05-09 11:51  mandrav

svn2436:  * Updated PCH check in sdk_common.h to allow MacOSX's
	  gcc (3.3) to use PCH (supports them).

2006-05-09 11:44  mandrav

svn2435:  * FindNext/FindPrevious would wrongly reset the search
	  text if there was selected text in the editor.
	  * Updated wxFNB C::B patches.

2006-05-09 10:50  mandrav

svn2434:  * Enhanced "Swap header/source": if the pair file does
	  not exist, the user is asked to create it (patch #985).
	  * Plugin SDK version pumped to 1.7.15.

2006-05-09 10:30  mandrav

svn2432:  * Enabled configuration for selection foreground color.

2006-05-09 10:18  byo

svn2431:  wxSmith redesign:
	  * Added drag assist
	  * Moved wxsWindowEditor::ConfigManager to wxsWindowEditorContent
	  class (moved to separate files)

2006-05-09 09:13  mandrav

svn2430:  * Added GLUT template (file-based, patch #992).

2006-05-09 08:57  mandrav

svn2429:  * Added support for the VC++ 2005 compiler (patch
	  #1024).

2006-05-09 08:57  mortenmacfly

svn2428:  * WX_CFG is initially empty (as with all other contrib
	  plugins) * fixed bug 'Set now' not working properly (thanks
	  Ceniza) * include and some perfomance fixes (thanks killerbot)

2006-05-09 08:50  mandrav

svn2427:  * Added editor setting for the caret blink period
	  (patch #1031).

2006-05-09 08:43  mandrav

svn2426:  * Enabled GDC compiler for Mac OS X [gdcmac] (patch
	  #1003).

2006-05-09 08:40  mandrav

svn2425:  * Fixed freeze on editor drag'n'drop in wxGTK (patch
	  #1037).

2006-05-09 08:36  mandrav

svn2424:  * Fixed bug in message logs show/hide function.

2006-05-08 22:15  byo

svn2423:  wxSmith redesign:
	  * Positioning with mouse almost finished (updating resource tree
	  left)

2006-05-08 21:07  killerbot

svn2422:  * Fix contrib workspace (envvars : windows != unix)

2006-05-08 20:36  mandrav

svn2421:  * Updated wxFNB to version 1.30 (with C::B patches).
	  * Added new contrib plugin: Environment variables.

2006-05-08 11:38  killerbot

svn2420:  * projectfile : 2 const methods
	  * compiler/build macros : removed unused $objects and added the
	  target's object's output directory as '$objects_output_dir'

2006-05-07 23:45  thomasdenk

svn2419:  Fix Ceniza's crash-on-switch-workspace crash.

2006-05-06 06:47  cyberkoa

svn2417:  Purpose : Fix bug
	  Function : BuildSizerCreatingCode
	  Line : 33
	  Description
	  Code generation wrong.
	  Line 33 corrected become wxString::Format(_T("%d,%d"),Cols,Rows)

2006-05-06 06:41  cyberkoa

svn2416:  Fix bug : variable name for FontEncoding is wrongly
	  generated as widget's variable, should be widget's variable +
	  FontEncoding

2006-05-05 21:55  byo

svn2413:  wxSmith redesign:
	  * Restored positioning with mouse (position changing / visual
	  effects still missing)
	  * Moved wxsParent::CanAddChild to public scope

2006-05-05 11:21  thomasdenk

svn2412:  Prevent crash on exit with wxFNB 1.3

2006-05-03 17:46  thomasdenk

svn2407:  Typo in config loading

2006-05-03 16:43  mandrav

svn2406:  * Fixed wrong address display in memory window
	  (debugger).
	  * Fixed ENTER key in address field of memory window (debugger).

2006-05-03 10:46  tiwag

svn2405:  * added event to update ExamineMemory dialogue window
	  on pressed Enter key in Address text-control.

2006-05-03 09:52  mandrav

svn2404:  * Added autosave plugin in autotools build system.

2006-05-03 09:46  tiwag

svn2403:  * bug #7369, Debugging Examine Memory dialogue shows
	  wrong addresses, fixed.

2006-05-03 08:59  killerbot

svn2402:  * include fixes (linux and typo)

2006-05-02 20:55  killerbot

svn2400:  * some more include clean-ups

2006-05-02 20:51  killerbot

svn2399:  * some include clean-ups

2006-04-30 16:09  thomasdenk

svn2397:  Abort project loading if compiler plugin is
	  deactivated.

2006-04-28 21:37  byo

svn2396:  wxSmith redesign:
	  * Added dragging points
	  * Restored resizing with mouse (repositioning is on the way)
	  * Added wxsItem::GetBaseProps()

2006-04-28 07:21  thomasdenk

svn2394:  Patch #1019 : Fixes bug #7275 ("lost keywords")

2006-04-28 07:16  thomasdenk

svn2393:  Removed copying manifest file around (not needed and
	  confuses people)

2006-04-27 22:41  byo

svn2392:  wxSmith redesign: Removed timers in wxsDrawingWindow -
	  it should now work without flickering

2006-04-27 17:48  thomasdenk

svn2391:  Patch #1016 : Destroy file select dialog in
	  cbEditor::SaveAs(), use appwindow as parent

2006-04-27 17:41  thomasdenk

svn2390:  Autosave plugin
	  - only save files that are actually modified
	  - keep file's modification status when not saving to the main
	  file

2006-04-27 17:39  thomasdenk

svn2389:  Added default variable to cbProject::SetModified (for
	  consistency with cbEditor)

2006-04-26 19:12  killerbot

svn2388:  * settings.h : cleanup (part 1)

2006-04-26 18:06  killerbot

svn2387:  * profiler : cbMessageBox return value fix

2006-04-26 15:29  killerbot

svn2386:  * minor include/const clean up

2006-04-26 12:50  mandrav

svn2385:  * CompilerFactory object exposed to scripts:
	  IsValidCompilerID(), GetCompilerIndex() and
	  GetDefaultCompilerID().
	  * Added CompilerFactory::IsValidCompilerID() and
	  CompilerFactory::GetCompilerByName().
	  * Compiler selection page is no longer mandatory in project
	  wizard scripts.
	  * Changed signature of Wizard.AddCompilerPage() script function
	  to make it more versatile. Check comments in
	  console/wizard.script.

2006-04-26 08:45  thomasdenk

svn2384:  Use ISO 8601 format for revision date.

2006-04-26 08:43  thomasdenk

svn2383:  Turkish language hack II

2006-04-25 21:46  pecan

svn2382:  DragScroll v0.23 Added test to honor MSW mouse events
	  only if main CB window has focus

2006-04-25 18:59  thomasdenk

svn2381:  Bug #6952

2006-04-25 12:19  tiwag

svn2380:  * Folded code block doesn't unfold from search results
	  [ Bug #7210 ] fixed

2006-04-25 10:43  byo

svn2379:  wxSmith redesign: wxsDrawingWindow updates

2006-04-25 08:14  thomasdenk

svn2378:  Added SetBestFittingSize() to compiler and debugger
	  toolbars

2006-04-24 19:05  thomasdenk

svn2377:  Patch #988 : Reorder wxToolBar::Realize() and
	  SetBestSize() to prevent missized toolbar in wxWidgets 2.6.3

2006-04-24 18:41  thomasdenk

svn2376:  Patch #990 : Preserve editor colour sets (interim
	  solution for bugs 7063 and 7194).

2006-04-24 18:31  thomasdenk

svn2375:  Patch #1001 : Add autorevision as dependency in
	  Makefile.am to fix parallel builds (prevents executable from
	  being run before being built).

2006-04-24 18:14  thomasdenk

svn2374:  Revision string in "start here" page now shows the
	  revision date rather than the compile date of some random source.
	  Added ConfigManager::GetSvnDate() member.
	  Autorevision code cleanup, now uses subversion if present (falls
	  back to old method otherwise).

2006-04-24 15:44  tiwag

svn2373:  * show Find & FindInFiles tabs in dialog (patch 978 #3
	  from 06-04-20)

2006-04-24 08:04  thomasdenk

svn2372:  Turkish language hack

2006-04-23 20:23  pecan

svn2371:  Keybinder; correct typo's on Linux; (my thanks to
	  daniel2000)

2006-04-23 13:51  pecan

svn2370:  KeyBinder v0.4.17 (2006/04/23) Fixed ability to update
	  duplicate menu entries; Appeased Linux gcc with extra parens;
	  Removed RC2 code and references

2006-04-22 15:43  thomasdenk

svn2369:  Feature Request #2042 : Save editor's zoom (does not
	  update open editors)

2006-04-21 20:09  zlika

svn2368:  CodeStat: Fixed problem with wxStaticText's being in
	  fact wxTextCtrl's (special thanks to Pecan).

2006-04-21 07:23  killerbot

svn2367:  * projectfileoptions : struct rename to avoid name
	  clash with it's plug-in origin

2006-04-20 09:56  mandrav

svn2366:  * Fixed wxAUI build issue under windows.

2006-04-20 07:15  mandrav

svn2365:  * Updated wxAUI to v0.9.2 (with extra patches).

2006-04-20 02:40  cyberkoa

svn2364:  Fix bug for StatusBar code generated by Wizard

2006-04-19 20:43  byo

svn2363:  wxSmith redesign: some small fixes

2006-04-19 11:52  killerbot

svn2362:  * Projectwizard : no -WAll for DMD, adjust update.bat
	  (no Makefile.am copying)

2006-04-18 20:51  thomasdenk

svn2361:  Bug #7152 : "New file" dialog does not have focus in
	  text edit control

2006-04-18 16:06  mandrav

svn2360:  * Fixed script errors in the new "D application"
	  wizard.

2006-04-18 16:03  mandrav

svn2359:  * Fixed a couple of build issues for *nix (regarding
	  the projectwizard
	  plugin).

2006-04-18 14:09  killerbot

svn2358:  * ProjectWizard : little include fix

2006-04-18 13:21  mandrav

svn2357:  * Increased width of new project dialog to old setting
	  (again).

2006-04-18 12:57  mandrav

svn2356:  * Fixed wrong paths in ProjectWizard 's Makefile.am
	  files.

2006-04-18 12:15  mandrav

svn2355:  * Added new plugin: "Project wizard". It uses scripting
	  to define wizards. See plugins/projectwizard/resources for more.
	  * Added checkboxes in new project dialog, to filter showing the
	  old file-based templates and the new wizard-based ones.

2006-04-18 08:15  mandrav

svn2354:  * Added more file extension constants in FileFilters
	  (including the dot this time).
	  * Exposed file extension constants to scripts.
	  * Changed ScriptingManager::Load() to just load the script and
	  not run it. Use ScriptingManager::Compile() afterwards to compile
	  and run the script(s). Or use
	  ScriptingManager::LoadAndRunScript() that works like Load() used
	  to.
	  * SDK version changed to 1.7.14.

2006-04-17 22:20  thomasdenk

svn2353:  Added Autosave to CodeBlocks-unix.cbp

2006-04-14 21:56  killerbot

svn2352:  * some include fixes

2006-04-14 21:34  killerbot

svn2351:  * HelpPlugin : include fix (due to todays changes)

2006-04-14 18:15  thomasdenk

svn2350:  Removed extra qualifications

2006-04-14 14:45  killerbot

svn2349:  * forgot a file in previous commit

2006-04-14 14:44  killerbot

svn2348:  * "Find In Files" :
	  - Scope : Workspace files (new feature)
	  - interface methods : const
	  - little include clean up

2006-04-14 13:16  killerbot

svn2347:  * cbplugin.h : include cleanup -> several other files
	  needed to be include corrected, bumped sdk major/minor version to
	  : 1.7

2006-04-14 12:21  killerbot

svn2346:  * cbConfigurationPanel : GetTitle() and
	  GetBitmapBaseName() : const methods

2006-04-14 11:43  killerbot

svn2345:  * autosave : header inclusion cleanup/adjustments

2006-04-13 22:30  thomasdenk

svn2344:  Autosave plugin

2006-04-13 16:52  thomasdenk

svn2343:  Windows XP crash handler

2006-04-13 12:39  tiwag

svn2342:  * Reverted rev 2331 (* Make "Search->Find" and
	  "Search->Find in files" more consistent (patch #978).)
	  because it introduces a severe bug "Search pattern edit not
	  getting focus"

2006-04-13 04:14  cyberkoa

svn2341:  Sizer code generation problem , the Add function left
	  out a comma in between the ID and the extra option

2006-04-13 04:11  cyberkoa

svn2340:  Obvious bug. Wrong spelling of wxALIGN* cause the wrong
	  code generated.

2006-04-12 16:37  thomasdenk

svn2339:  Fix Crash on exit due to double deletion of notebook
	  pages.

2006-04-12 12:05  thomasdenk

svn2338:  Removed sanity check macros.
	  Added a few const qualifiers.

2006-04-11 02:00  pecan

svn2337:  KeyBinder v0.4.16 Added addition to Help to explain the
	  inability to override Ctrl-Shift-N and like duplicate menu
	  entries.

2006-04-11 01:57  pecan

svn2336:  DragScroll v0.22 2006/04/10 Added option to turn
	  ListCtrl scrolling on/off. Turned Editor focus off by default.
	  Listctrl scrolling off by default.

2006-04-10 17:00  byo

svn2335:  wxSmith redesign:
	  * Added wxsCorrector class which will correct invalid properties
	  * Some other small fixes

2006-04-10 13:04  mandrav

svn2334:  * Fixed update of symbols browser when showing current
	  file's symbols only and involved namespaces.

2006-04-10 12:42  killerbot

svn2333:  * ToDo Plug-In : include clean up and const's

2006-04-10 12:33  mandrav

svn2332:  * Fixed "View->Go to function" to not skip
	  namespace/class/struct blocks.

2006-04-10 09:28  mandrav

svn2331:  * Make "Search->Find" and "Search->Find" in files more
	  consistent (patch #978).

2006-04-10 09:22  mandrav

svn2330:  * Added compiler option (in "Other" page) to
	  explicitely add the project's top-level directory in the
	  compiler's search paths. Option is off by default.

2006-04-10 09:00  mandrav

svn2329:  * Fixed issue (crash sometimes) when importing MSVS
	  projects.

2006-04-10 08:46  mandrav

svn2328:  * Allowed compiling windows resources under non-windows
	  platforms. Makes sense when cross-compiling for windows under
	  linux.

2006-04-10 05:34  cyberkoa

svn2327:  1. Remove unnecessary comment in defwidgets' cpp file
	  2. Add in EnumDeclFiles function
	  3. Fix bug : statictext.cpp include the wrong header file

2006-04-10 03:14  cyberkoa

svn2326:  Adding project file for Unix-like platform (Tested
	  under Ubuntu 5.10)

2006-04-10 03:12  cyberkoa

svn2325:  Fix bug : the declaration of including header file
	  wxscontainer.h have a capital "C" which cause the case sensative
	  problem under Linux

2006-04-10 03:07  cyberkoa

svn2324:  EndDialog is not supported under wxGTk and wxMAC, port
	  the wxMSW version to be used for non wxMSW platform

2006-04-10 03:05  cyberkoa

svn2323:  Fix bug : The Font family comparison condition should
	  use "==" instead of "="

2006-04-10 03:00  cyberkoa

svn2322:  Function ltoa is not in ANSI , therefore code does not
	  compile with compiler that does not support ANSI . Modified to
	  conditional compilation with __GNUG__ (GNU compiler on all
	  platform)
	  
	  Fix bug for
	  "Element->InsertEndChild(TiXmlText(ltoa(VALUE,Buffer,10)));"
	  which write the return value of ltoa instead of the value in
	  Buffer

2006-04-07 13:00  mispunt

svn2321:  Docs: more text about installation

2006-04-07 08:21  mispunt

svn2320:  Doc: Added some installation documentation

2006-04-06 18:22  pecan

svn2319:  DragScroll v0.21 - Added missing event.Skip();
	  Re-instated listCtrl scrolling.

2006-04-06 14:35  mispunt

svn2318:  First start on the userguide

2006-04-06 13:14  mandrav

svn2317:  * Renamed "class browser" to "symbols browser".
	  * Made symbols browser hideable (toggle entry in "View" menu).

2006-04-06 08:46  mandrav

svn2316:  * Encapsulated our (simple) file encoding detection in
	  a class named EncodingDetector.
	  * Fixed VisualStudio solution importer to recognize and skip the
	  byte order mark (if present).

2006-04-06 03:12  pecan

svn2315:  DragScroll v0.20 - Removed ListCtrl window scrolling to
	  avoid
	  conflict with Build messages context menu

2006-04-05 19:54  mispunt

svn2314:  Made a lot of changes in the directory structure and
	  the Makefile.
	  Windows Makefile is probably broken...

2006-04-05 16:15  byo

svn2313:  wxSmith redesign:
	  * Added support for header files
	  * Resource is recreating code
	  * Some other small fixes

2006-04-05 12:23  mandrav

svn2312:  * Added context menu in "Build messages" tab to allow
	  copying its contents to the clipboard.

2006-04-05 11:35  tiwag

svn2311:  * automatic Batchbuildwindow closes only when no build
	  errors occured
	  * Lexer-loading uses Debug-Log (with time prefixed) as all other
	  debug-log-messages

2006-04-05 11:05  mandrav

svn2310:  * Fixed class browser's resource encoding.

2006-04-05 10:36  mandrav

svn2309:  * Fix in main app to reach OnExit() when shutting down.
	  Still, the return value is ignored by wxWidgets (as mentioned in
	  the docs)...

2006-04-05 09:57  mandrav

svn2308:  * Part of the last commit which was left out...

2006-04-05 09:55  mandrav

svn2307:  * For batch builds, the log window is now automatically
	  closed. If you want it to stay open, use the new
	  "--no-batch-window-close" command-line option.

2006-04-05 09:27  mandrav

svn2306:  * No more delays when opening "Settings->Editor" (due
	  to lexers reloading).

2006-04-05 09:25  mandrav

svn2305:  * Fixed (finally?) recent crash-on-exit introduced with
	  the new class browser enhancements.

2006-04-05 08:17  mandrav

svn2304:  * Made "Settings->Compiler & debugger" dialog
	  resizeable. Also made resizeable the cbConfigurationDialog
	  dialog.

2006-04-05 08:03  mandrav

svn2303:  * Removed a warning about an uninitialized variable
	  (patch #955).

2006-04-05 08:00  mandrav

svn2302:  * Fixed some memory leaks (patch #958).

2006-04-05 07:55  mandrav

svn2301:  * Close code-completion if list is empty (patch #982).
	  Applies only to our custom control, not scintilla's embedded
	  autocompletion box.

2006-04-05 07:50  mandrav

svn2300:  * Temporary fix for debugger's stop toolbar button bug
	  under winXP. Replaced another button on this toolbar with an
	  uglier one which fixes this annoying visual bug under winXP
	  (patch #977).
	  * Added button accelerators in AnnoyingDialog (patch #974).
	  * Enlarged statusbar space for a couple of fields which were
	  truncated under wxGTK (patch #976).
	  * Fix for splash screen freeze issue (patch #981).

2006-04-05 07:36  mandrav

svn2299:  * Class browser now remembers its expanded nodes when
	  it is refreshed.

2006-04-05 07:16  mandrav

svn2298:  * Fixed a crash-on-exit issue under windows.

2006-04-04 20:52  byo

svn2297:  Fixed headers (some were missing when not using pch) in
	  base wxSmith

2006-04-04 16:18  mandrav

svn2296:  * Fixed a crash-on-exit under linux.

2006-04-04 13:47  mandrav

svn2295:  * Class browser now correctly updates when closing a
	  project.
	  * cbEVT_PROJECT_CLOSE event was fired a little too late, when the
	  project's files were already deleted. Now it is fired at an
	  earlier stage, where the project is still in a sane state.

2006-04-04 09:57  mandrav

svn2294:  * Improved class browser search function.

2006-04-04 08:08  mandrav

svn2293:  * Class browser searching improved: search starts at
	  the currently selected node and searches all nodes inside it. If
	  it fails, it searches the whole class browser tree. So basically,
	  pressing Enter (or clicking the search button) continuously, will
	  cycle through all the matching nodes.

2006-04-04 08:02  mandrav

svn2292:  * Update class browser contents when changing from
	  floating to docked-in-project-manager.
	  * When typing in the class browser's search box, the Enter key
	  starts the search.

2006-04-03 22:36  byo

svn2291:  wxSmith redesign:
	  * Selection handling moved from wxsWindowEditor to wxsWindowRes
	  (don't need to do broadcasting now)
	  * Added support for selection changing
	  * Added skeleton for function rebuilding code inside
	  wxsWindowRes, updated all todos requiring code rebuild
	  * Property grid is now working (because of selection changing
	  support with some bug fixes)

2006-04-03 12:09  byo

svn2290:  wxSmith redesign:
	  * Fixed preview-not-working bug

2006-04-03 11:19  mandrav

svn2289:  * Simplified class browser.
	  * Added configuration option for class browser to be a standalone
	  free floating/docking window instead of appearing as a tab inside
	  the project manager's notebook.

2006-04-01 05:49  cyberkoa

svn2288:  Update the project file for adding wxbitmapbutton
	  support

2006-04-01 05:38  cyberkoa

svn2287:  Fix the bug on the wxStatusbar code generated by wizard

2006-04-01 05:36  cyberkoa

svn2286:  Add wxbitmapbutton support

2006-03-31 10:42  mandrav

svn2285:  * Fixed broken batch build feature.

2006-03-31 10:26  mandrav

svn2284:  * Fixed compiler couldn't jump to error line for single
	  files (without a project).

2006-03-31 10:04  mandrav

svn2283:  * Added editor settings for caret width and color
	  (adjusted patch #968).

2006-03-31 09:47  mandrav

svn2282:  * Fixed toolbars size problems with wx2.6.3 (patch
	  #972).

2006-03-31 09:44  mandrav

svn2281:  * Fixed a crash in linux when entering environment
	  settings (patch #973).

2006-03-31 09:38  mandrav

svn2280:  * Fixed creating a new compiler by copying an existing
	  one (would throw an exception after the first copy).

2006-03-31 08:58  mandrav

svn2279:  * Correctly update debugger breakpoints when
	  adding/removing lines in the editor (used to keep the old
	  breakpoint lines in memory).

2006-03-30 16:56  byo

svn2278:  wxSmith redesign:
	  * Fixed some doxygen warnings
	  * Added doxygen howto - deriving from wxsItem (other classes will
	  be soon)
	  * Added missing SetupWindow() if dialog frame and parent
	  (colours, fonts etc. are now set up properly in editor)
	  * Moved some sizer setting-up code for wxsContainer to wxsSizer
	  class
	  * Fixed background color bug in sizer preview

2006-03-30 13:50  mandrav

svn2277:  * Plugins can now add their own configuration page in
	  the project properties dialog. No plugin makes use of this yet.
	  * Plugin SDK version updated to 1.6.13.

2006-03-30 09:22  byo

svn2276:  wxSmith redesign:
	  * Added wxsLANGMSG macro for reporting unsupported coding
	  language (all sources converted to use them)
	  * wxsParent::GetChildExtra changed to public
	  * wxsSizer doesn't threat wxsSpacer as special case now (code
	  moved to wxsSpacer class)

2006-03-29 22:32  byo

svn2275:  wxSmith redesign:
	  * spacer and sizers are back to the business
	  * Small extension to enum Property (value can be stored in xml
	  using integer or name)
	  * Temporary hacks to wxsDrawingWindow (temporarily disabled
	  overdrawing, it's not yet working and hide some important things)

2006-03-28 21:32  thomasdenk

svn2274:  Patch #960 : set statusbar widths to fit any dpi
	  (slightly modified)

2006-03-28 21:13  thomasdenk

svn2273:  Patch #957 : Conditional jump or move depends on
	  uninitialised value

2006-03-28 11:54  tiwag

svn2270:  * DevPak-plugin
	  - bugfix: save *.entry files as ANSI (UTF8)
	  - use ConfigManager::GetConfigFolder() folder for mirrors and
	  webupdate files

2006-03-28 11:07  killerbot

svn2269:  * PlugInWizard : includes cleanup and use cbMessageBox

2006-03-28 10:59  mandrav

svn2268:  * Fixed startup freeze bug when the splash screen was
	  visible and an informational message popped-up (like a project
	  file being upgraded).
	  * Under windows, the DDE server is always enabled (configuration
	  removed from environment settings). The only way to disable the
	  DDE server is by passing the command-line argument --no-dde,
	  which suggests the user knows what he 's doing.

2006-03-28 10:07  mandrav

svn2267:  * Fixed printing line numbers to printout even when
	  disabled in editor settings.

2006-03-28 09:14  mandrav

svn2266:  * Added printing option to print the line numbers or
	  not.
	  * Moved all common precompilation #includes in new file
	  sdk_common.h. It is included by sdk.h and sdk_precomp.h.
	  * Plugins SDK version pumped to 1.6.12.

2006-03-28 08:12  mandrav

svn2265:  * Fixed debugger not working with wx projects (debuggee
	  would segfault).
	  * Fixed first debugger breakpoint not hitting.

2006-03-27 19:51  pecan

svn2264:  Keybinder: fixed uninitialized variable; fixed array
	  overflow

2006-03-27 17:51  thomasdenk

svn2263:  Moved ICO files to EXTRA_DIST in Linux build (don't
	  need to be installed)

2006-03-27 17:42  killerbot

svn2262:  * plug-ins : GetConfigurationPriority() and
	  GetConfigurationGroup() : const method

2006-03-27 11:37  killerbot

svn2261:  * Profiler :
	  - includes clean up
	  - cbMessageBox
	  - variable declaration points
	  - preincrement

2006-03-26 10:57  mandrav

svn2260:  * Moved debugger's info on running threads from
	  "Debug->Information" to
	  "Debug->Debugging windows" .
	  * Right-clicking on a running thread the user can switch context
	  to
	  another thread than the current one.

2006-03-26 00:05  mandrav

svn2259:  * Add classbrowserbuilderthread.cpp/h in autotools
	  builds.

2006-03-25 16:28  killerbot

svn2258:  * fixed a typo in include in projectmanager.cpp

2006-03-25 15:26  zlika

svn2257:  Codestat: The plugin configuration panel appeared in
	  the wrong settings panel -> fixed.

2006-03-25 12:15  mandrav

svn2256:  * The debugger, under non-windows platforms, can now
	  interrupt the
	  running process (i.e. break into it while it's running).

2006-03-25 12:00  killerbot

svn2255:  * [ Patch #952 ] Project opened twice when passed in
	  command line

2006-03-25 09:49  mandrav

svn2254:  * Turn mouse cursor to "busy" (hourglass) while loading
	  the editor
	  settings dialog (it takes some time because it's reloading all
	  lexers).

2006-03-25 09:40  killerbot

svn2253:  * codestat :
	  - includes clean up
	  - cbMessageBox
	  - variable declaration points
	  - preincrement
	  - unix project : remove of include directive

2006-03-25 09:32  mandrav

svn2252:  * Fixed typo in LD_LIBRARY_PATH setting when running a
	  console program
	  (non-windows platforms).

2006-03-25 09:01  mandrav

svn2251:  * Added support for anonymous namespaces in
	  code-completion.

2006-03-24 23:06  byo

svn2250:  Editor is now building palette.
	  Made some basic adjustment of item priorities to show them in
	  correct order in item palette

2006-03-24 20:39  mandrav

svn2249:  * Fixed broken removal of files/folders from the
	  project tree, when the project file was in a subfolder (bug
	  #6782).

2006-03-24 20:06  killerbot

svn2248:  * keybinder : wxEvent's protected member access
	  replaced by it's public getter methods

2006-03-24 18:56  mandrav

svn2247:  * Fixed "clear" buttons in compiler options. Would only
	  work if an entry
	  was selected in the relevant list.

2006-03-24 17:42  mandrav

svn2246:  * Updated unix project file to newest version.
	  * Removed stripping of debug symbols in output/ directory.
	  * Fixed all code-completion threading issues/freezes, mainly
	  under Linux
	  (hopefully). Also fixes freeze when entering Settings->Editor.

2006-03-24 15:23  thomasdenk

svn2245:  Updated to newest version of cbSplashScreen

2006-03-24 10:40  mandrav

svn2244:  * Added a couple of missing files since last commit.

2006-03-24 10:27  byo

svn2243:  Added support for loading/saving child items
	  wxsProject class does not have resource types hard-coded at all.
	  Everything moved to resource factory.
	  Editor can now be opened
	  New resources can be added to project
	  Bug fix for invalid properties handling when using
	  wxsPropertyContainer::SubContainer() call
	  Some seg fault bug fixes
	  Added codeblocks.exe as startup application

2006-03-24 10:15  mandrav

svn2242:  * Moved class-browser tree generation to a separate
	  thread. No more temporary freezes when saving large projects :)

2006-03-24 10:00  killerbot

svn2241:  * Updated contrib plugins (unix) project files to
	  newest version.

2006-03-24 09:54  killerbot

svn2240:  * Updated contrib plugin (DragScroll) project files to
	  newest version

2006-03-24 09:29  mandrav

svn2239:  * Major code-completion speed-up on large projects
	  loading: the tokenizing phase would happen inside the main
	  thread: BAD!

2006-03-24 08:37  mandrav

svn2238:  * Added missing #include in EditorBase.

2006-03-23 21:53  mandrav

svn2237:  * Cleaned up context menu of open files list (patch
	  #931).

2006-03-23 20:12  ceniza

svn2236:  * Workaround to fix hang when using the help plugin to
	  open a CHM file with a keyword to search for.

2006-03-23 16:03  killerbot

svn2235:  * include fix (patch 951)

2006-03-23 14:25  byo

svn2234:  Some small updates to new wxSmith to make it compile in
	  new environment

2006-03-23 13:03  byo

svn2233:  Added current sources of redesigned wxSmith

2006-03-23 13:02  thomasdenk

svn2232:  Patch #950 : DDE bug workaround

2006-03-23 11:41  mandrav

svn2231:  * Updated contrib plugins project files to newest
	  version.

2006-03-23 11:31  mandrav

svn2230:  * Updated project file to newest version.
	  * Added more configuration options in wxSmith settings page:
	  + Default sizer settings. Include defaults for border size,
	  proportion, etc.
	  + Checkbox to auto-select new widgets, when created.
	  * Changed some of the default sizer settings in wxSmith:
	  + Proportion: changed to 0 (from 1).
	  + Placement: changed to TopLeft (from Center).
	  + Border: changed to 0 (from 5).
	  All of the above (and more) are configurable in wxSmith settings.
	  * Added wxsSizerExtraParams::BorderFlagsValues::All (equals
	  Left|Right|Top|Bottom).

2006-03-23 08:12  killerbot

svn2229:  * some include fixes

2006-03-22 20:54  zlika

svn2228:  Some minor improvements to the Code Statistics plugin:
	  - Display a warning message instead of "XXXX%" when the project
	  is empty.
	  - If some files are not saved, ask the user if he wants to save
	  them before counting the lines.
	  - Comments are now compatible with doxygen.

2006-03-22 13:18  mandrav

svn2226:  * Added DistClean() methods in compiler plugin (patch
	  #922).
	  * Fixed bug when showing/hiding message manager pages (patch
	  #932).
	  * Updated "Start here" page (patch #908).
	  * Added "Swap header/source" in editor tabs context menu (patch
	  #945).

2006-03-22 12:04  mandrav

svn2225:  * Replaced most dialogs of the project loading process
	  with AnnoyingDialog (i.e. allow the user disable these messages).

2006-03-22 11:51  mandrav

svn2224:  * Project file version pumped to 1.4.
	  * Display message when the project file version is older, listing
	  the changes since that (old) version.

2006-03-22 11:26  mandrav

svn2223:  * Updated project file properties dialog.
	  + Merged "Advanced" and "Build" tabs to one ("Advanced").
	  + Removed incorrect help text for custom build command macros.
	  + Custom build command can now be specified per-compiler.
	  * Plugin SDK version pumped to 1.6.11.

2006-03-22 09:15  mandrav

svn2222:  * Run project pre-build commands even when building a
	  single target.

2006-03-21 20:27  pecan

svn2221:  DragScroll v0.19 Capture middle mouse button
	  immediately when used for scrolling. Allows much better
	  performance under GTK.

2006-03-21 10:16  killerbot

svn2220:  * copystrings : reactivate pch and adjust unix cbp file

2006-03-20 21:16  thomasdenk

svn2219:  Patch #928 : Show/hide tabs in message pane - display
	  the correct icons

2006-03-19 22:38  killerbot

svn2218:  * copystrings : temporarily disactivate pch

2006-03-19 22:31  killerbot

svn2217:  * include fix to codestats (more include changes to be
	  done in the future)

2006-03-19 19:01  zlika

svn2216:  The user can now modifie/add/delete the list of
	  supported languages in the code statistics plugin!

2006-03-18 22:07  killerbot

svn2214:  * classwizard :
	  - includes clean up
	  - cbMessageBox

2006-03-18 13:33  killerbot

svn2213:  * copystrings :
	  - includes clean up
	  - cbMessageBox
	  - interface reduction

2006-03-17 21:38  killerbot

svn2212:  * xpmanifest plug-in : include clean up

2006-03-17 15:42  killerbot

svn2211:  * cleanup precompile headers :
	  - only specified at one location (sdk.h) (sdk_precomp.h just
	  includes sdk.h)
	  - added some other common used wx headers in the precompile list
	  - sorted that precompile list alphabetically (the wx part)
	  - added a common included CB header to the list

2006-03-16 21:07  killerbot

svn2202:  * don't add to recent files when open (of not
	  registered file types) in CB was cancelled (patch 930)

2006-03-16 20:42  mandrav

svn2201:  * Fixed setting of LD_LIBRARY_PATH to the current dir,
	  for non-windows
	  ports, when running console applications.
	  * Disabled scripting for 64bit processors since AngelScript does
	  not yet
	  support them.

2006-03-16 13:41  tiwag

svn2200:  * CodeCompletion custom listcontrol
	  - closes on pressing SPACE Key,
	  - is positioned correctly on screen using dual monitor setup

2006-03-15 15:06  killerbot

svn2199:  * Digital Mars C/C++ compiler support : report warnings
	  no longer as errors

2006-03-15 10:45  mandrav

svn2198:  * When moving a project up/down in the workspace, don't
	  steal the focus after the move so that it's ready for another
	  movement.

2006-03-15 08:46  mandrav

svn2197:  * Fixed crash when trying to open a new instance of
	  C::B and single-instance was checked (patch #927 for bug #6779).

2006-03-15 08:43  mandrav

svn2196:  * Make profiler plugin dialog resizable in all
	  directions (patch #923).

2006-03-15 08:42  mandrav

svn2195:  * Replaced directly deleting a few dialogs with calling
	  Destroy() on them (patch #920).
	  * Updated project file.

2006-03-15 08:39  mandrav

svn2194:  * Support for custom vars in output filenames, when
	  using the profiler (patch #919).

2006-03-15 08:31  mandrav

svn2193:  * Added editor lexer for Ogre compositor scripts
	  (contributed by nfz).

2006-03-14 20:46  killerbot

svn2192:  * fixed typo : oxFreeBSD ->osFreeBSD

2006-03-14 08:58  mandrav

svn2191:  * Fixed wrong case in #include.

2006-03-14 08:05  killerbot

svn2190:  * fixing some includes problems

2006-03-13 10:34  mandrav

svn2187:  * Fixed file filters not working in file dialogs (bug
	  #6749).

2006-03-13 10:20  mandrav

svn2186:  * Added DirectX template for windows. Supports GCC and
	  MSVC Toolkit. Patch #853.

2006-03-13 10:08  mandrav

svn2185:  * Fixed another file dialog created with wrong parent
	  (patch #904).

2006-03-13 10:06  mandrav

svn2184:  * Fixed a couple of file dialogs created without a
	  parent (patch #907).

2006-03-13 10:02  mandrav

svn2183:  * Updated NSIS lexer and sample code (patch #911).

2006-03-13 09:57  mandrav

svn2182:  * Respect default encoding when creating new files
	  (patch #914).

2006-03-13 09:50  mandrav

svn2181:  * Fixed editor-lost-focus issue when compiling (bug
	  #910).

2006-03-13 09:42  mandrav

svn2180:  * Fixed debugger to work when the project file is not
	  in the project's top-level directory (bug #6756).

2006-03-13 08:44  mandrav

svn2179:  * Fixed hang if splash was shown while compiler
	  auto-detection took place.

2006-03-12 19:10  killerbot

svn2178:  * include fix in cbworkspace.h (needs globals.h for
	  DEFAULT_WORKSPACE)

2006-03-12 18:55  killerbot

svn2177:  * minor include fix to filefilters.cpp (wxArrayString)

2006-03-12 18:35  killerbot

svn2176:  * bug fix for priority in to-do item (patch 915 for bug
	  6748) (once again : wx bug for streams)

2006-03-12 16:55  thomasdenk

svn2175:  Replaced Update() with a yield on GTK

2006-03-12 14:34  thomasdenk

svn2174:  Removed wxSTAY_ON_TOP (again)

2006-03-11 09:29  mandrav

svn2173:  * Updated wxSmith's PCH removal for autotools builds.

2006-03-10 22:02  mandrav

svn2172:  * Added Ceniza's cbSplashScreen class which allows
	  using the same
	  (alpha-enabled) splash screen to be used under all (current)
	  platforms.

2006-03-10 20:50  killerbot

svn2171:  * fixed typo in filefilters.cpp

2006-03-10 19:22  mandrav

svn2170:  * Fixed build error in toolsmanager.cpp for non-windows
	  platforms.

2006-03-10 11:57  mandrav

svn2169:  * Updated unix project file.

2006-03-10 11:55  mandrav

svn2168:  * Added namespace FileFilters. It contains few
	  functions for registering/retrieving file extension filters. It
	  also contains some constants for widely used file extensions
	  (moved from settings.h).
	  * Files extensions loaded from editor lexers are now registered
	  with Code::Blocks as supported file extensions and appear in file
	  dialogs filters.

2006-03-10 09:45  mandrav

svn2167:  * Moved GUI for DEFAULT_CONSOLE_SHELL and
	  DEFAULT_CONSOLE_TERM from compiler options dialog to environment
	  options.

2006-03-10 09:26  mandrav

svn2166:  * Tools' output is now logged.
	  * Another option was added for tools: "launch in a console and
	  wait for keypress". Note that with this option, the tool's output
	  is not logged.
	  * Moved DEFAULT_CONSOLE_SHELL and DEFAULT_CONSOLE_TERM from
	  compiler plugin to SDK. Still have to move the GUI from compiler
	  options dialog to environment options.

2006-03-10 08:24  mandrav

svn2165:  * Added $PROJECT_TOPDIR macro. Aliases:
	  $PROJECT_TOPDIRECTORY, $PROJECTTOPDIR and $PROJECTTOPDIRECTORY.
	  Returns the project's common top-level path.

2006-03-09 13:23  mandrav

svn2164:  * Fixed issue with "Switch to frame" command in
	  debugger callstack, for cases where frame numbers are not
	  continuous.

2006-03-09 11:02  mandrav

svn2163:  * Fixed TemplateManager issues when projects are laid
	  out in multiple folders.
	  * Fixed all TemplateManager issues related to loading/saving user
	  templates.

2006-03-09 10:23  mandrav

svn2162:  * Fixed crash in MessageManager::DebugLogError() and
	  MessageManager::DebugLogWarning().

2006-03-09 09:11  thomasdenk

svn2161:  Removed rich edit attribute from linker options (Bug
	  #6727)

2006-03-08 20:19  killerbot

svn2160:  * horizontal scrollbar added to compiler options (patch
	  905 for feature request 1950)

2006-03-08 12:27  mandrav

svn2159:  * Made code-completion's auto-popup behaviour a little
	  smarter.

2006-03-08 09:36  killerbot

svn2158:  * MsVCToolkit compiler : debug symbols : corrected
	  define : _DEBUG instead of DEBUG

2006-03-08 09:01  mandrav

svn2157:  * Fixed bug in build process when switching targets
	  that use different compilers.

2006-03-07 14:42  mandrav

svn2156:  * Updated project files.

2006-03-07 14:38  mandrav

svn2155:  * Renamed debugger's window "Examine memory" to "Memory
	  dump".
	  * Improved debugger's "Memory dump" window. It now contains a
	  field to enter an address and a combobox to select the bytes
	  count to dump. It is also updated automatically.

2006-03-07 09:35  mandrav

svn2152:  * Ctrl-C (copy), Ctrl-V (paste), Ctrl-X (cut), Ctrl-Z
	  (undo) and Ctrl-Shift-Z (redo) now work for logs too. The editor
	  doesn't "steal" them anymore. Patch #890.

2006-03-07 09:27  mandrav

svn2151:  * Added a couple of missing #includes for non-PCH
	  builds (patch #899).

2006-03-07 09:25  mandrav

svn2150:  * Various little code cleanups (patches # 901, 897,
	  892).
	  * wxWidgets project templates updates (patch #862).

2006-03-07 09:18  mandrav

svn2149:  * Fixed DDE bug in windows (patch #902).

2006-03-07 09:13  mandrav

svn2148:  * Updated Python lexer (patch #891).
	  * Updated CSS lexer (patch #884).

2006-03-07 07:59  mandrav

svn2147:  * When launching a tool, its details are logged
	  (command line and working dir) for easier user troubleshooting.

2006-03-07 07:42  mandrav

svn2146:  * Added -r switch in OpenWatcom's compile resource
	  command macro.

2006-03-07 07:37  mandrav

svn2145:  * Removed obsolete file
	  src/sdk/wxscintilla/build/wxscintilla.cbp.

2006-03-06 23:06  killerbot

svn2144:  * fixed crash on search/replace nothing (path 900 : bug
	  6665)

2006-03-06 17:31  mandrav

svn2143:  * Added NSIS lexer (patch #898).
	  * Updated XBase lexer (patch #893).

2006-03-06 07:35  thomasdenk

svn2142:  Overloaded another log function

2006-03-05 19:52  thomasdenk

svn2141:  Prevent crash if compiler errors (or any other log
	  messages) contained '%' (thanks to Boaz for pointing out).

2006-03-05 19:14  thomasdenk

svn2140:  Bug #6658 : Moving project in workspace

2006-03-05 17:10  mandrav

svn2139:  * More autotools build system updates.

2006-03-05 10:37  mandrav

svn2137:  * Autotools build system updates.
	  * Removed -DAS_MAX_PORTABILITY from CodeBlocks-unix.cbp (added
	  accidentally).

2006-03-05 10:33  thomasdenk

svn2136:  Changed Manager::Get() function to omit default value.
	  No functional change.

2006-03-04 21:32  mandrav

svn2135:  * Added EditorHooks for modules to hook on editor
	  events. Currently only
	  wxEVT_SCI_CHARADDED is forwarded to hooks.
	  * Moved everything code-completion related from cbEditor to
	  code-completion plugin (thanks to hooks).
	  * Added code-completion option to auto-launch code-complete popup
	  when
	  typing characters and the word contains more than a user-defined
	  number
	  of characters (default 4).
	  * Removed SDK events cbEVT_EDITOR_AUTOCOMPLETE,
	  cbEVT_EDITOR_CALLTIP and
	  cbEVT_EDITOR_USERLIST_SELECTION.
	  * Added new SDK event cbEVT_APP_UPDATE_TITLE to notify the main
	  app to
	  update its title etc. Currently not handled...

2006-03-03 23:30  mandrav

svn2134:  * Fixed wrong order of macro substitution for targets
	  working dir, which
	  could result in invalid paths.

2006-03-03 17:10  mandrav

svn2133:  * Double-clicking on a breakpoint in the breakpoints
	  window now opens
	  the file (would just focus it if it was already open).

2006-03-03 13:22  mandrav

svn2130:  * Added "Debug->Debugging windows->Examine memory". Not
	  much for now, just dumps 256 bytes starting from the address
	  passed. It will get better though ;)

2006-03-03 11:33  mandrav

svn2127:  * Added "Change value" in debugger's watches tree
	  context menu to allow changing the value of variables.

2006-03-03 10:36  mandrav

svn2125:  * Removed buttons from debugger's callstack dialog.
	  Replaced them with a context menu instead.

2006-03-03 10:21  mandrav

svn2124:  * Added button "Switch to selected" in the debugger's
	  callstack dialog. It allows the user to switch between stack
	  frames and examine the currently selected frame like it was
	  currently running :)

2006-03-03 09:11  mandrav

svn2123:  * Updated C/C++ lexer (patch #882).
	  * Updated F77 lexer (patch #885).
	  * Updated RC lexer (patch #886).
	  * Added MatLab lexer (patch #883).

2006-03-03 09:05  mandrav

svn2122:  * XP manifest plugin cleanup (patch #858).

2006-03-03 08:25  mandrav

svn2121:  * Re-enabled codecompletion parsing thread. Also, a
	  single tokens store is used for the whole workspace, instead of
	  one per-project. This lowers the memory needs a *lot*.

2006-03-03 08:19  killerbot

svn2120:  * fixed some missing includes

2006-03-02 19:12  mandrav

svn2119:  * Fixed a build issue with contrib plugin "source
	  exporter".

2006-03-02 14:35  mandrav

svn2113:  * Added two new buttons in debugger toolbar: "Debugging
	  windows" and "Information". These make the respective "Debug"
	  menu submenus accessible from the toolbar.

2006-03-02 11:26  mandrav

svn2111:  * Fixed a layout issue with the batch build log window
	  (appeared only the first time used).

2006-03-02 11:25  mandrav

svn2110:  * Added "Debug->Information" submenu to display
	  information for:
	  + the selected stack frame
	  + the loaded DLLs
	  + the files being debugged
	  + the floating point unit status
	  + the handling of signals
	  + and the running threads

2006-03-02 09:55  mandrav

svn2109:  * Added "next instruction" command in debugger menu and
	  toolbar, to step one assembly instruction at a time.

2006-03-02 09:54  thomasdenk

svn2108:  Rewrote file renaming (fixes Bug #6624)

2006-03-02 08:48  mandrav

svn2107:  * Disassembly window contents were not cleared when the
	  current frame was changed.
	  * Added "please wait" message while generating disassembly.

2006-03-02 08:35  mandrav

svn2106:  * Fixed GUI glitch when removing a log window from the
	  MessageManager (patch #870).

2006-03-02 08:32  mandrav

svn2105:  * Fixed some assert failures in debug mode (patch
	  #874).

2006-03-02 08:29  mandrav

svn2104:  * Updated batch and lua lexers to the current format
	  (patches #879 and #880).

2006-03-02 08:27  mandrav

svn2103:  * Fixed possible crash in project options dialog (patch
	  #876).

2006-03-01 16:31  killerbot

svn2099:  * updated css lexer (patch 878)

2006-03-01 16:25  killerbot

svn2098:  * apply user template project name (bug 6584)

2006-03-01 13:26  thomasdenk

svn2097:  Removed an unneeded variable

2006-03-01 13:09  mandrav

svn2096:  * Converted disassembly dialog to use wxScintilla
	  instead of a list control.
	  * Added editor lexer for MASM assembly.
	  * Changed editor lexer keywords to support up to 9 sets
	  (wxScintilla limit). <Language>, <User> and <Documentation> tags
	  in lexers are now considered obsolete (they still work though).
	  They should be replaced by <Set>. The "index" attribute defines
	  the keyword index for the set (its meaning is different on a
	  per-lexer basis).

2006-03-01 09:48  mandrav

svn2095:  * Removed hardcoding of CPU registers for debugging.
	  All available CPU registers are displayed now.
	  * Fixed crash when saving disassembly to file.

2006-02-28 12:36  thomasdenk

svn2092:  Removed a few unneeded placement calls.

2006-02-28 08:59  killerbot

svn2091:  * Update the project template dialog (patch 869)

2006-02-27 19:08  killerbot

svn2090:  * new dir button for user templates directory selection
	  (patch 866 for feature request 1878)

2006-02-27 16:00  thomasdenk

svn2089:  Rename project files (Feature Request #1805)

2006-02-27 12:19  mandrav

svn2088:  * Fixed problem with XRC file for Settings->Editor.

2006-02-27 12:14  killerbot

svn2087:  * user templates are no longer stored in ".CodeBlocks"
	  subdir of <docsSets>\<User> but in
	  <docSets>\<User>\ApplicationData\CodeBlocks\UserTemplates

2006-02-26 22:18  killerbot

svn2086:  * Adjust title bar on save project (fixes bug 6585, and
	  when saving new project from template)

2006-02-26 21:37  thomasdenk

svn2085:  Renamed Macintosh rsrc files

2006-02-26 14:40  mandrav

svn2084:  * Improved filenames handling.

2006-02-26 09:42  mandrav

svn2083:  * Fixed default EOL mode to be defined correctly under
	  each
	  platform.

2006-02-26 09:24  mandrav

svn2082:  * Fixed some View menu items not working in linux
	  (Manager, Open files
	  and Fullscreen).

2006-02-25 22:55  thomasdenk

svn2081:  Fix for compiler options dialog in 120 dpi

2006-02-25 21:52  mandrav

svn2080:  * Added debian folder for .deb creation.

2006-02-25 15:58  thomasdenk

svn2079:  Icon update

2006-02-25 15:29  thomasdenk

svn2078:  Mac Icons

2006-02-25 13:36  killerbot

svn2077:  * added libshfolder to sdk target to have a good win9x
	  ansi build

2006-02-25 13:24  killerbot

svn2076:  * on compile single file (compile current file) have
	  other project files saved first

2006-02-25 09:14  mandrav

svn2075:  * Updated mime info for linux installations.

2006-02-25 08:18  mandrav

svn2074:  * Fixed formatting of editormanager.cpp. To all devs:
	  use 4 spaces
	  tabbing and do not use astyle on the sources if you use different
	  settings.

2006-02-24 21:50  killerbot

svn2073:  * fixed some issues to allow correct build on linux
	  (patch 861)

2006-02-24 21:41  killerbot

svn2072:  * remove unneeded forward declarations of wxNoteBook
	  (patch 857)

2006-02-24 14:47  mandrav

svn2071:  * Moved basic compiler command line generation inside
	  the SDK. This will allow for some nifty (mostly seemless)
	  upgrades to the compiler framework.

2006-02-24 09:56  mandrav

svn2070:  * Fixed (removed) bogus error message on project
	  creation/loading, if mingw is not installed (bug #6531).

2006-02-24 09:43  mandrav

svn2069:  * Fixed occasional crash when removing files from a
	  project (bug #006562).

2006-02-23 19:40  thomasdenk

svn2068:  Fixed missing resource from revision 2063

2006-02-23 17:26  ceniza

svn2067:  * Now using cbU2C.
	  * Fixed: HTMLExporter wasn't setting the page title correctly.

2006-02-23 17:14  ceniza

svn2066:  * Fixed bug #6467: Source Formatter (AStyle) delete
	  lines automatically

2006-02-23 14:38  mandrav

svn2065:  * Added dummy virtual destructor in
	  ProjectLoaderHooks::HookFunctorBase to keep the compiler happy.
	  * Changed the function signature for
	  ProjectLoaderHooks::HookFunctorBase::Call(): added the project in
	  question as first argument.

2006-02-23 10:43  killerbot

svn2064:  * fixed potential problem with multi inclusion
	  protection of cbeditor.h

2006-02-23 10:39  mandrav

svn2063:  * Updated all XRC resources (and respective dialogs) in
	  sdk/ and src/ to use wxStdDialogButtonSizer where applicable.

2006-02-23 09:35  mandrav

svn2062:  * Fixed a serious flaw in event notifications.

2006-02-22 14:48  mandrav

svn2061:  * Updated various readme files in the project's root
	  directory.

2006-02-22 14:05  mandrav

svn2060:  * Completely removed _U() and _C() macros. For new code
	  use the functions cbC2U() and cbU2C() respectively.

2006-02-21 22:53  thomasdenk

svn2059:  Patch : Add "Additional paths" double-click editing

2006-02-21 22:38  thomasdenk

svn2058:  Patch #845 : Fixes for compiling on FreeBSD 6.0

2006-02-21 18:24  mandrav

svn2057:  * Added editor setting for the default encoding when
	  opening files.

2006-02-21 14:07  thomasdenk

svn2056:  Image update (3/3)

2006-02-21 14:06  thomasdenk

svn2055:  Image update (2/3)

2006-02-21 14:05  thomasdenk

svn2054:  Image update (1/3)

2006-02-21 13:45  thomasdenk

svn2053:  Removed translations where none should be
	  - cbThrow / cbException
	  - cbAssert
	  - MessageManager::DebugLog
	  - a few compiler flags

2006-02-21 10:34  mandrav

svn2052:  * Added ability to add hooks (callbacks) for when a
	  project is loaded/saved. Can be used to add extensions to the
	  project file. Everything goes in <Extensions> (under <Project>
	  tag). Full documentation can be found in
	  sdk/projectloader_hooks.h.

2006-02-20 13:51  mandrav

svn2050:  * Updated PluginWizard to generate current SVN revision
	  compatible plugins.

2006-02-20 12:23  mandrav

svn2049:  * "Clear" buttons in compiler options dialog are now
	  enabled if the respective lists have items (used to be enabled
	  only when selecting an item from the list).

2006-02-20 11:30  mandrav

svn2048:  * Fixed files-don't-open-with-DDE bug introduced
	  yesterday.

2006-02-20 11:19  mandrav

svn2047:  * Centered some dialogs which were not correctly
	  aligned to screen.

2006-02-20 11:06  mandrav

svn2046:  * Moved associations management dialog layout data to
	  its own XRC.

2006-02-20 10:49  thomasdenk

svn2045:  Re-imported "manage associations" dialog from 2022

2006-02-20 00:02  thomasdenk

svn2044:  Patch #849 and #850 : Increase font size for Mac OS,
	  correct a typo.

2006-02-19 21:30  mandrav

svn2043:  * Reverted reading from piped processes in system
	  encoding.
	  * Enhanced message manager tabs context menu to allow
	  showing/hiding
	  logs (needs some more work).
	  * Font size for message logs is now configurable.
	  * Broke up "General settings" page of environment options dialog
	  to
	  "General settings" and "View".

2006-02-19 21:27  mandrav

svn2042:  * Added view-off.png.

2006-02-19 21:26  mandrav

svn2041:  * Added view.png.

2006-02-19 17:35  tiwag

svn2040:  * Find & Replace functions bugfixes & enhancements:
	  - Find & Replace are wrapping around correctly on first search
	  attempt (no bogus "Result not found" )
	  - Find & FindNext (F3 & Shift-F3) are using the actual selected
	  text as new "search text" on subsequent searches - the
	  Find-Dialog is only needed the first time a search is initiated.
	  (Will pop up automatically with F3, when no search was done
	  before)
	  - Replace proposes the actual selected text as first replacee (if
	  the selected text matches the search text)
	  - Replace got wrap-around functionality (Messagebox &
	  Auto-wrap-araound)

2006-02-19 14:50  ceniza

svn2039:  * Increased the number of help files to 32 as a
	  temporary fix.
	  - The complete bugfix is still pending.

2006-02-18 22:15  killerbot

svn2038:  * when (last) editor closed, status bar no longer shows
	  it's details

2006-02-18 22:07  mandrav

svn2037:  * Fixed wxSmith's update script for unix.

2006-02-18 21:15  mandrav

svn2036:  * Created unix project files for all contrib plugins
	  that didn't have
	  one.
	  * Created unix workspace for all contrib plugins.

2006-02-18 19:57  mandrav

svn2035:  * Disabled setting for settings dialogs icons under
	  non-windows
	  platforms due to incompatibilities.

2006-02-18 19:49  mandrav

svn2034:  * Fixed some gcc-4.x warnings + 64bit compatibilty.

2006-02-18 19:12  mandrav

svn2033:  * Added DragScroll contrib plugin in build system.
	  * Fixed all 64bit compatibility issues.
	  * Fixed all AngelScript related issues in non-x86 platforms.
	  Scripting
	  isn't available inside C::B for those platforms, but it builds
	  and runs
	  correctly.

2006-02-18 19:06  thomasdenk

svn2032:  Patch #844 : Drop target for management window

2006-02-18 18:02  thomasdenk

svn2031:  Bugfix for Linux build

2006-02-18 17:34  thomasdenk

svn2030:  Image update

2006-02-18 15:53  thomasdenk

svn2029:  Restructured "start here" page (FTR by tiwag)
	  - Removed configuration links (rarely used)
	  - Increased count for recently used items instead
	  - Logo links to home page
	  - Added automatic revision-copy for bug reporting

2006-02-18 15:05  thomasdenk

svn2028:  Fixed a super stupid typo.

2006-02-18 14:38  killerbot

svn2027:  * add DragScroll plug-in to the contrib plug-ins

2006-02-18 10:56  thomasdenk

svn2026:  Removed 3 superfluous lines

2006-02-17 17:47  thomasdenk

svn2025:  Image update
	  Filetypes update

2006-02-17 15:25  thomasdenk

svn2024:  Custom variables panel in compiler options are properly
	  trimmed and quoted now

2006-02-17 15:24  killerbot

svn2023:  * fix EXTRA_DIST

2006-02-17 14:44  thomasdenk

svn2022:  Fixed "Can't open registry key..." error
	  Revamped "Do you want to register Code::Blocks" dialog at startup
	  Added management dialog for file type registering
	  Recoded file type associations to be more flexible

2006-02-17 10:32  tiwag

svn2021:  * remove breakpoints working with gdb 6.3-2

2006-02-17 09:23  mandrav

svn2020:  * Added previously forgotten
	  src/sdk/as/source/as_callfunc_ppc.cpp.

2006-02-17 08:56  mandrav

svn2019:  * Added all enums of CompileTargetBase and cbProject as
	  script constants for use by scripts.
	  * Moved all constants script bindings to a separate file
	  (const_bindings.cpp/h).

2006-02-17 08:27  mandrav

svn2018:  * Added "Clear" button in globals compiler options
	  "Programs->Additional paths" (patch #840).

2006-02-17 08:22  mandrav

svn2017:  * Patched AngelScript with initial support for PowerPC
	  (PPC) (patch #824).

2006-02-16 10:05  killerbot

svn2016:  added support for basic GNU ARM compiler (adjusted
	  patch : 1424406)

2006-02-16 09:43  mandrav

svn2015:  * Added "Clear" buttons in almost all lists in compiler
	  options (directories, vars, etc). Patch #837.

2006-02-16 09:35  mandrav

svn2014:  * Changed default encoding for opening files to
	  system's default.

2006-02-15 19:33  thomasdenk

svn2013:  Image update

2006-02-15 13:50  thomasdenk

svn2012:  Image update

2006-02-15 13:37  mandrav

svn2011:  * Fixed file associations for new icons.

2006-02-15 11:51  thomasdenk

svn2010:  Image update

2006-02-15 11:23  mandrav

svn2009:  * Added configuration option (Environment->General) for
	  the icons size in settings dialogs. Currently allows large icons
	  (default) and no icons at all (just text).

2006-02-15 10:20  mandrav

svn2008:  * Fixed "Start here" page synchronization issues with
	  recent projects/files history.

2006-02-15 08:49  thomasdenk

svn2007:  Patch #834 : Integrates internationalisation into
	  environment dialog

2006-02-14 20:27  killerbot

svn2006:  * Digital Mars compiler : removed some wrong linker
	  options, added those 2 wrong options as selectable compiler
	  options (bug 1385424)

2006-02-14 14:23  mandrav

svn2003:  * Fixed crash when clicking on a build error and the
	  project in question has already been closed.
	  * Removed some debugging messages from the debugger (accidentally
	  committed them last time).

2006-02-14 12:38  mandrav

svn2002:  * Better error reporting for script compile errors.
	  * Fixed broken messageboxes which didn't return the correct
	  button press (fixes problems like not being able to delete tools
	  etc).

2006-02-13 18:54  thomasdenk

svn2001:  Adjusted spacing of buttons from rev 1998

2006-02-13 18:32  thomasdenk

svn2000:  TODO plugin remembers style and position selection

2006-02-13 17:33  thomasdenk

svn1999:  cbMessageBox (drop-in replacement for wxMessageBox)

2006-02-13 15:00  thomasdenk

svn1998:  Added "Clear Libraries" button (patch by Morten McFly)

2006-02-13 14:18  thomasdenk

svn1997:  Patch #827 : Unicode fixes

2006-02-13 13:39  thomasdenk

svn1996:  Added random compiler variables

2006-02-13 09:58  mandrav

svn1995:  * Changed CB_IMPLEMENT_PLUGIN macro and friends, to
	  take an additional argument as the plugin's title. This will fix
	  the "disabled plugins are displayed by name instead of title"
	  problem in "Plugins->Manage plugins". Updated all plugins (core
	  and contrib).
	  * Added GetDefaultPath() and SetDefaultPath() in ProjectManager.
	  These return/set the default path for new projects.
	  * cbEVT_TARGETS_MODIFIED is now fired when targets are modified
	  in any way. This fixes the bug with the out-of-sync targets
	  toolbar combobox.
	  * Fixed MacrosManager to recognize the targets toolbar combobox.
	  This means that macros that rely on the selected target work now
	  even if not building the project.
	  * Small fix in script bindings.

2006-02-13 09:35  thomasdenk

svn1994:  Support double-click editing in project dependencies

2006-02-12 23:07  mandrav

svn1993:  * Script bindings updates.

2006-02-12 21:11  mandrav

svn1992:  * Fix for debugger's run-to-cursor inside a debugging
	  session.

2006-02-12 20:46  killerbot

svn1991:  bug fix for using cpp comment style for "to do" when
	  "Exactly where caret
	  is now" option is chosen (optional validation in case in the
	  middle of a line of code) (bug 1420491)

2006-02-12 15:21  thomasdenk

svn1990:  Patch #823 : Some modifications for compiling under
	  MaxOS X

2006-02-12 09:36  mandrav

svn1989:  * Fixed i18n issue with unicode (patch #819).

2006-02-12 08:47  mandrav

svn1988:  * Fixed problem when some macros were used in user
	  tools. They would stay out of sync when the project had changed.

2006-02-12 08:17  mandrav

svn1987:  * Fixed a warning with gcc 4.x (patch #818).

2006-02-11 22:28  thomasdenk

svn1986:  Bug #6377 : Application crash on Alt-F-A

2006-02-11 21:48  thomasdenk

svn1985:  Patch #817 : Fixes gcc 4.1 extra qualification error

2006-02-11 19:53  mandrav

svn1984:  * Compilation fix for non-PCH C::B builds.

2006-02-11 19:51  mandrav

svn1983:  * Fixed possible debugger hang under certain
	  conditions.

2006-02-11 11:25  mandrav

svn1982:  * Added lexer for batch files (patch #1424139).
	  * Added lexer for diff/patch files (patch #1423638).
	  * Fixed bug in scintilla's python lexer, regarding
	  decorators/comments highlighting (patch #1412607).
	  * Editor themes/syntax highlighting do not use integer IDs
	  anymore. No more broken lexers after a new lexer is added.
	  * Fixed defining multiple syntax highlighting themes not working.
	  * Editor themes configuration saves only what's different from
	  the lexer files. This shaves off 60% of configuration size, if no
	  theme is customized. Should do the same with compilers
	  configuration some day...

2006-02-10 21:42  mandrav

svn1981:  * Fixed syntax highlighting not working for newly
	  created files.

2006-02-10 19:40  thomasdenk

svn1980:  Patch #816 : replace macro with const int

2006-02-10 19:27  thomasdenk

svn1979:  Updated URL in bug-report shortcut

2006-02-10 19:11  thomasdenk

svn1978:  Updated URLs in "start here" page

2006-02-10 16:40  thomasdenk

svn1977:  Adjusted "Find in Files" progress dialog placement

2006-02-10 16:18  killerbot

svn1976:  remove fixed sample.dll name from dll template, will be
	  <project_name>.dll now

2006-02-10 14:51  mandrav

svn1975:  * Fixed a typo in debugger configuration dialog (patch
	  #1426347).

2006-02-10 14:40  mandrav

svn1974:  * Don't show message "Can't open workspace" for the
	  default workspace, if it doesn't exist.

2006-02-10 08:02  mandrav

svn1972:  * Updated all windows project files.

2006-02-09 19:27  pecan

svn1970:  Keybinder v0.4.15
	  Removed incorrect delete of dlg
	  fixed "PRIOR/NEXT" unrecognized keys in wxGetAccelFromString

2006-02-09 10:31  mandrav

svn1967:  * Refactored Compiler class to use string IDs instead
	  of numeric. No more messing up user-defined compilers. Users
	  should only re-define their user-defined compilers for the last
	  time.
	  * Debugger's debug log can now be shown/hidden without requiring
	  C::B to restart. Will implement this for other logs too.

2006-02-08 02:40  ceniza

svn1966:  * Fixed bug of Export menu not showing the sub-menu.

2006-02-07 22:25  byo

svn1965:  Fixed Makefile.am in defwidgets directory (added
	  wxsStdDialogButtonSizer)

2006-02-07 15:40  thomasdenk

svn1964:  Patch [1424156]: Fixes compiler warnings

2006-02-07 14:26  thomasdenk

svn1963:  Patch [1422884]: Typo

2006-02-07 14:21  thomasdenk

svn1962:  Patch [1424254]: Remember Tab Order

2006-02-07 13:49  thomasdenk

svn1961:  Changed enabled state for a checkbox.

2006-02-07 13:36  thomasdenk

svn1960:  Added $HeadURL: http://svn.code.sf.net/p/codeblocks/code/branches/release-16.xx/ChangeLog $ substitution to svn:keywords property

2006-02-07 13:15  thomasdenk

svn1959:  Added executable extensions to Subversion's ignore list

2006-02-07 12:38  thomasdenk

svn1958:  Image update

2006-02-07 11:17  mandrav

svn1956:  * Fixed the wxFlatNotebook bug that would, sometimes,
	  create a split-window-like effect when removing a page
	  (wxFlatNotebook's author notified about it).

2006-02-07 09:19  mandrav

svn1955:  * Fixed a compatibility issue between windows/unix when
	  opening a project.
	  * Fixed plugin events firing more than once, under some
	  circumstances.
	  * Fixed various debugger breakpoints issues.

2006-02-06 21:49  thomasdenk

svn1954:  Yet another gcc-3.3-linux fix.

2006-02-06 19:10  thomasdenk

svn1953:  Fixed two more Linux build problems with gcc 3.3

2006-02-06 16:47  thomasdenk

svn1952:  Patch fixing broken Linux build (Der Meister)

2006-02-06 14:30  thomasdenk

svn1951:  Addition to 1949

2006-02-06 13:28  thomasdenk

svn1950:  Addition to 1949

2006-02-06 12:46  thomasdenk

svn1949:  Preparation for placement

2006-02-06 12:38  thomasdenk

svn1948:  Image update

2006-02-06 12:31  mandrav

svn1947:  * Fixed console_runner's message when waiting for a
	  keypress.

2006-02-06 11:15  mandrav

svn1946:  * A log window is displayed now for batch builds.
	  * Added a few new events: EVT_COMPILER_STARTED,
	  EVT_COMPILER_FINISHED, EVT_DEBUGGER_STARTED, EVT_DEBUGGER_PAUSED,
	  EVT_DEBUGGER_FINISHED. Not all are sent yet, only
	  EVT_COMPILER_FINISHED.

2006-02-05 16:37  thomasdenk

svn1945:  Image update

2006-02-05 11:10  mandrav

svn1943:  * Added menu item "Edit->File encoding" to select the
	  active file's encoding. Partial patch by 280Z28.
	  * Added simple BOM signature encoding auto-detection on file
	  open.
	  * Convert filenames on open. This allows to open the same project
	  file in all supported OSes.
	  * Updated AngelScript to 2.5.0b.
	  * Fixed all warnings when building with gcc-4.x.

2006-02-04 18:33  thomasdenk

svn1942:  Fixed Unicode issue in AnnoyingDialog.

2006-02-04 12:33  mandrav

svn1941:  * Fixed dreaded hang-on-project-close-in-linux bug.
	  * Updated wxFlatNotebook to latest CVS.
	  * Debugger adjusts LD_LIBRARY_PATH accordingly before starting
	  debug session.

2006-02-03 15:59  thomasdenk

svn1940:  Comment fix.

2006-02-03 15:51  thomasdenk

svn1939:  Removed $Date: 2011-11-20 10:44:50 +0000 (So, 20. Nov 2011) $ keyword, added standard copyright header
	  where it was missing.

2006-02-03 09:46  mandrav

svn1938:  * Fixed editor caret moving to where the mouse pointer
	  is when pressing context menu key (patch #1423075).

2006-02-03 09:26  mandrav

svn1937:  * Fixed console_runner to work with special characters
	  in filenames (patch #1419173).

2006-02-03 08:37  mandrav

svn1936:  * Fixed #include in cbexception.cpp.
	  * Updated unix project file.

2006-02-02 21:08  thomasdenk

svn1935:  Image updates

2006-02-02 14:49  mandrav

svn1933:  * Fixed "open #include" to not contain duplicate
	  entries (bug in NormalizePath). Also it doesn't show "file not
	  found" anymore, if the user cancels the selection dialog.
	  * Bug-fix in code-completion parser.

2006-02-02 14:17  mandrav

svn1932:  * Fixed a lot of warnings generated with GCC 4.x (patch
	  #1422391).
	  * Fixed default command for DMC static libs.

2006-02-02 14:04  mandrav

svn1931:  * Fixed a wxString::Format warning in cdb_driver.cpp
	  (patch #1420912).

2006-02-02 14:03  mandrav

svn1930:  * Save modified files before build, when using custom
	  makefile (patch #1419940).

2006-02-02 13:46  mandrav

svn1929:  * Fixed web links in "Start here" page to work in
	  non-windows OSes (patch #1418225).

2006-02-02 13:43  mandrav

svn1928:  * Fixed crash if workspace load fails cause project no
	  longer exist (patch #1418161).

2006-02-02 13:39  mandrav

svn1927:  * Fixed default code for editors not being saved
	  correctly (patch #1415821).
	  * Default code for editors is now saved only when the user exits
	  the dialog with "OK" (patch #1415821).

2006-02-02 13:36  mandrav

svn1926:  * Added ISO C99 keywords in C/C++ lexer (patch
	  #1414423).
	  * Added D lexer in project (forgot to do it earlier).

2006-02-02 13:30  mandrav

svn1925:  * Added wxTaskBarIcon display for batch build (patch
	  #1417616).

2006-02-02 13:27  mandrav

svn1924:  * Fixed tab shows file as not modified when using
	  default code (patch #1414110).

2006-02-02 13:23  mandrav

svn1923:  * Fixed crashing when default workspace cannot be
	  loaded (patch #1416842).

2006-02-02 13:15  mandrav

svn1922:  * Added support for DMD and GDC (D compilers) for
	  windows. Patch #1346431 by Venix.

2006-02-02 13:10  thomasdenk

svn1921:  Fixed behaviour in debug build and added convenience
	  tweaks for block allocator.

2006-02-02 11:59  mandrav

svn1920:  * Fixed per-compiler environment settings to be applied
	  just before the compiler is used. Fixes problems with projects
	  containing targets that use different compilers.

2006-02-02 11:14  thomasdenk

svn1919:  Exception display works properly now.
	  Added commentary info to Manager.
	  Fixed a formal error in sdk events.

2006-02-02 00:50  thomasdenk

svn1918:  Image update

2006-02-01 10:55  byo

svn1916:  Replaced any code using mb_str() with _C() macro

2006-02-01 08:42  mandrav

svn1915:  * In project properties dialog, disable some items that
	  don't make any sense if a custom makefile is used.

2006-01-31 17:16  thomasdenk

svn1914:  Reduced luminance of version string

2006-01-31 16:15  thomasdenk

svn1913:  Image updates

2006-01-31 14:35  mandrav

svn1909:  * Finally fixed debugger opening different tab each
	  time for the same file.
	  * Finally fixed parsing error in call stack (usually the first
	  entry was affected).

2006-01-31 11:04  mandrav

svn1908:  * Fixed debugger opening different tab each time for
	  the same file.
	  * Fixed parsing error in call stack (usually the first entry was
	  affected).
	  * Updated gdb types extension using scripts.
	  * Added "start from" and "count" numbers in watch properties, for
	  array types (used only by scripts).
	  * Fixed a bug in wxString's script binding regarding the index []
	  operator.

2006-01-30 23:18  byo

svn1907:  Applied patches by Takeshi Miya (support for
	  wxStdDialogButtonSizer and bug fixes for wxHtmlWindow)

2006-01-30 14:51  thomasdenk

svn1904:  Added icon paths to keybinder and profiler plugins.

2006-01-30 14:34  mandrav

svn1903:  * Fixed error line mark covering breakpoint marks (bug
	  #1403580).
	  * cbEditor::MarkLine() no longer clears the same mark from all
	  other lines (unless requested to do so).

2006-01-30 11:52  mandrav

svn1902:  * Corrected a macro test for a wxWidgets feature.

2006-01-30 11:34  mandrav

svn1901:  * Added button in project properties scripts page to
	  validate all used scripts.

2006-01-30 11:26  thomasdenk

svn1900:  Some image updates

2006-01-30 10:54  mandrav

svn1898:  * Fixed project tree flickering when removing single
	  files or folders from the project. Still remains when removing
	  multiple files but not much can be done.

2006-01-30 10:33  mandrav

svn1897:  * Don't add <dir>/include and <dir>/lib in GCC's
	  auto-detection code (bug #1410668).

2006-01-30 08:22  mandrav

svn1896:  * Compiler error messages now correctly open the file
	  containing the error, even if it belongs to a non-active project
	  (usually happens when building a workspace).

2006-01-30 08:08  mandrav

svn1895:  * Fixed building single file (without project) with
	  parallel build.

2006-01-30 03:35  rickg22

svn1894:  Fixed code completion crash related to critical
	  sections

2006-01-29 05:11  rickg22

svn1893:  Code completion: Reduced concurrent threads to 1 per
	  project, this is the only way I could deal with the segfaults
	  issue (sorry).

2006-01-29 02:53  rickg22

svn1892:  Codecompletion:
	  - Reduced memory usage by 50%! :)
	  - Plugged some (hopefully all) non thread-safe holes
	  SDK:
	  - Removed extra thread in thread pool

2006-01-28 17:49  thomasdenk

svn1891:  Patch [1417259]: missing headers for Linux build

2006-01-28 17:07  thomasdenk

svn1890:  Fixed a couple of memory leaks (includes patch
	  #1417376).

2006-01-27 20:42  mandrav

svn1889:  * Fixed debugger crash when trying to edit watch in
	  watches tree.

2006-01-27 19:39  thomasdenk

svn1888:  Disabled CB_PRECOMP for compilers that don't support
	  it.
	  This should prevent old compilers from including each and every
	  SDK header for every source file when they are accidentially run
	  with precompilation turned on.
	  This also means that we can now rely on the value of CB_PRECOMP.
	  When building with a compiler that does support precompilation, a
	  good amount of headers does not need to be loaded (as the
	  preprocessor will strip them anyway).

2006-01-27 14:56  mandrav

svn1887:  * Fixed build errors for non-windows builds (by
	  DerMeister).
	  * Fixed a typo in wxString script binding.

2006-01-27 12:06  mandrav

svn1886:  * Code-completion settings are now saved.

2006-01-27 11:02  mandrav

svn1885:  * Fixed debugger crash introduced yesterday.

2006-01-27 09:57  mandrav

svn1884:  * Fixed crash when aborting build.
	  * Re-added custom Makefile support when building (not thoroughly
	  tested).

2006-01-27 09:41  mandrav

svn1883:  * Fixed some more flickering when closing a project
	  (noticeable in workspaces with more than a couple of projects).
	  * Workspaces are now added to recent projects history again.

2006-01-27 08:24  mandrav

svn1882:  * Added priority for plugin configuration panels so
	  higher priority configuration panels appear higher in the
	  settings dialogs. Currently compiler and debugger plugins have
	  higher priority.

2006-01-27 05:29  rickg22

svn1881:  Code completion: Added missing critical section lockers
	  in parserthread.

2006-01-27 04:42  rickg22

svn1880:  SDK (cbThreadPool): Rewrote threads code to fix the 50%
	  CPU issue with dual core CPU's.
	  Code completion: Fixed a number of issues dealing with threads.
	  App: Added "Initializing plugins" message.

2006-01-26 18:02  mandrav

svn1879:  * Added sdk/configurationpanel.cpp in project.

2006-01-26 18:00  mandrav

svn1878:  * Removed batch builds page from environment settings
	  dialog. It has already been moved to compiler settings.
	  * Removed hardcoding of number of images in settings dialogs.

2006-01-26 17:50  mandrav

svn1877:  * Fixed "Project->Build options" window which was
	  broken since last branch merging.

2006-01-26 14:56  mandrav

svn1876:  * Fixed loading abbreviations.

2006-01-26 14:50  mandrav

svn1875:  * Merged ym_gdb branch to trunk.

2006-01-26 13:52  thomasdenk

svn1873:  Added new SDK event PROJECT_TARGETS_MODIFIED, fired
	  whenever a target is added, deleted or modified, or when the
	  order of targets is changed.
	  The event is caught by the compiler plugin to update the toolbar
	  target list.

2006-01-26 12:37  thomasdenk

svn1870:  Default code is properly saved now.

2006-01-26 10:55  thomasdenk

svn1869:  Oops... modified project files for the last revision.

2006-01-26 10:55  thomasdenk

svn1868:  Decoupled the autorevision part from the rest of
	  ConfigManager.
	  The SDK still has to be re-linked to reflect revision number
	  changes, unluckily.
	  However, the compiler now only has to parse 575 bytes and two
	  includes instead of 35,500 bytes and a dozen includes every time.

2006-01-26 10:49  thomasdenk

svn1867:  Replaced a few unnecessary wxString(someConstWxString)
	  with someConstWxString

2006-01-26 10:09  thomasdenk

svn1866:  Added missing #includes

2006-01-25 21:51  thomasdenk

svn1863:  Changed notebook appearance icon.

2006-01-25 17:46  thomasdenk

svn1861:  Added abbrevations icon to editor configuration dialog

2006-01-25 13:20  tiwag

svn1859:  added creation of directory
	  devel/share/codeblocks/images/settings

2006-01-25 12:53  thomasdenk

svn1858:  Made UserVariableManager a manager (which was
	  forgotten).
	  Several smaller manager-related cleanups (removed pointer checks
	  which are unnecessary because of guaranteed order of
	  destruction).

2006-01-25 11:26  tiwag

svn1857:  * added Double-Click to "Select Target" dialog

2006-01-25 10:31  thomasdenk

svn1856:  Added a hack for transparent images under Windows 2000

2006-01-25 04:26  rickg22

svn1854:  SDK: Fixed "rogue threads" issue with cbthreadpool.
	  Code Completion: Fixed hangs / crashes on exit introduced with
	  the "20 second startup" fix.

2006-01-24 21:58  thomasdenk

svn1853:  Corrected typo

2006-01-24 19:28  thomasdenk

svn1852:  Patch [1413923]: Convert former macros to use the
	  correct naming scheme

2006-01-24 16:44  thomasdenk

svn1851:  Added "abbreviations" icon

2006-01-24 16:10  thomasdenk

svn1850:  Added more "plastic specular" to defaultcode icon

2006-01-24 15:40  byo

svn1849:  Applied path #1411997 - Full qualified names in
	  declaration (by der_meister)

2006-01-24 13:05  thomasdenk

svn1848:  Fixed a typo in generated code ("returnfalse")

2006-01-24 12:52  thomasdenk

svn1847:  Fixed a small bug in the project tree which would
	  wrongly open the first file in a directory when the directory was
	  expanded with a double-click.

2006-01-24 08:15  thomasdenk

svn1846:  Patch [1411966]: Removes comparisons that are useless
	  due to type range.

2006-01-23 23:14  byo

svn1845:  * Modified resource files to work with new
	  configuration system and to be editable in wxSmith
	  * Style property for custom widget in source edit mode is now
	  stored

2006-01-23 22:06  pecan

svn1844:  Keybinder v0.4.13 1/23/2006 5:06 PM
	  Fixed key updating broken by new settings scheme
	  Cleaned up code and comments
	  Added operator== to keybinder.h to avoid unnecessary updates and
	  writes.

2006-01-23 12:44  thomasdenk

svn1842:  Reverted wxSTAY_ON_TOP from revision 1819 (was: patch
	  1410363)

2006-01-23 09:25  mandrav

svn1841:  * Updated configuration dialogs and added some more
	  icons.
	  * Changed default MIME handler plugin name to "Files extension
	  handler".

2006-01-23 06:14  rickg22

svn1840:  Removed (yet another) bottleneck in Codecompletion with
	  global includes.
	  Removed (confirmed now) 5-second delay at startup with
	  Codecompletion enabled.

2006-01-23 00:15  thomasdenk

svn1839:  Added Astyle icon.

2006-01-22 23:25  thomasdenk

svn1838:  Added gutter-margin and default-code icons

2006-01-22 21:18  thomasdenk

svn1836:  extension selector icon

2006-01-22 20:53  mandrav

svn1834:  * Updated autotools build system.

2006-01-22 20:15  mandrav

svn1833:  * Last plugins updated for settings dialog revamping.
	  * Added copystring plugin in the contrib plugins workspace.

2006-01-22 20:04  mandrav

svn1832:  * Fixed missing (since last commit) global vars dialog.

2006-01-22 19:43  mandrav

svn1831:  * Added constants for scripts to find out the platform
	  they run on.

2006-01-22 19:25  mandrav

svn1830:  * Revamped all configuration dialogs. SDK, main app,
	  core and contrib plugins are up to date.

2006-01-22 19:21  mandrav

svn1829:  * Added folder for new settings dialog images.

2006-01-22 10:12  mandrav

svn1828:  * Updated AngelScript to version 2.5.0a.

2006-01-22 08:09  mandrav

svn1827:  * Added a couple of missing includes.

2006-01-22 01:41  rickg22

svn1826:  * Fixed the annoying "path contains to many '..'!"
	  popups with the new NormalizePath function in globals.cpp (only
	  changed codecompletion, other plugins still need to be updated)
	  * Fixed the long delay at codecompletion startup (removed extra
	  log calls on startup)
	  * Fixed overhead issue with codecompletion when global includes
	  were enabled.

2006-01-21 20:00  mandrav

svn1825:  * Added support for adding AngelScript scripts to the
	  project/targets to allow setting up the build environment from
	  script.

2006-01-21 19:29  thomasdenk

svn1824:  Patch [1411504]: update to python lexer.
	  Added python lexer to project file.
	  Removed unnecessary target dependencies.

2006-01-20 13:35  mandrav

svn1823:  * Code-completion fix for function arguments and local
	  variables.

2006-01-20 08:56  mandrav

svn1822:  * Added libshell32.a and libshfolder.a link libraries
	  in 'src' build target of the main project file. Needed for the
	  resulting codeblocks.exe to be compatible with win98.

2006-01-20 08:48  thomasdenk

svn1821:  Added Python lexer.

2006-01-20 08:34  mandrav

svn1820:  * Added compiler-independent option to explicitely add
	  the currently compiling file's directory to the compiler's search
	  dirs. This fixes once and for all the bug with revision 1813 and
	  allows it to be configurable. Default is *not* to add this dir
	  behind the scenes (i.e. the way it always was).

2006-01-20 08:29  thomasdenk

svn1819:  Patch [1410363]: Splash screen flags wxSTAY_ON_TOP and
	  wxFRAME_NO_TASKBAR

2006-01-20 08:14  thomasdenk

svn1818:  Disallow building of XP Manifest under non-Windows

2006-01-20 02:39  rickg22

svn1817:  Code completion: Added transitional code for the next
	  optimization

2006-01-19 21:18  mandrav

svn1816:  * Updated unix project file.

2006-01-19 21:17  mandrav

svn1815:  * Fixed a few compiler warnings.

2006-01-19 21:00  mandrav

svn1814:  * Fixed debugger active line to work in linux again.

2006-01-19 15:53  thomasdenk

svn1813:  Patch [1388037]: Makes Code Statisitcs work with
	  DOS/Win-Pathnames on Linux

2006-01-19 14:28  thomasdenk

svn1812:  Patch [1409547]: removes two macros from
	  editorcolorset.cpp

2006-01-19 14:23  mandrav

svn1811:  * Added currently compiling file's path in include
	  search dirs.

2006-01-19 14:07  thomasdenk

svn1810:  Patch [1408396]: removes two macros from main.cpp

2006-01-19 13:53  thomasdenk

svn1809:  Patch [1409896]: Replaced the deprecated wxURL
	  functions in devpak plugin

2006-01-19 13:51  mandrav

svn1808:  * Changed the signature of cbPlugin::BuildModuleMenu().
	  It now contains an argument that prefectly describes the item in
	  question. As a result, right-clicking on folders works just fine
	  now.
	  * Updated all affected plugins by this change.

2006-01-18 22:26  byo

svn1804:  * Fixed bug with xml structure warning when using
	  custom widgets in resource without xrc file

2006-01-18 22:15  byo

svn1803:  * Added some DBGLOG-s to wxsCoder class
	  * Files are no longer set to "modified" state when generated code
	  doesn't change file content

2006-01-18 19:59  mandrav

svn1802:  * Implemented GDB workaround for ctor/dtor breakpoints.
	  The user should just set a breakpoint on the exact ctor/dtor
	  line.

2006-01-18 14:22  mandrav

svn1801:  * Updated contrib plugins workspace.

2006-01-18 10:28  mandrav

svn1800:  * Debugger would fail to start if last program run has
	  returned with non-zero exit code, although the build itself had
	  succeeded.

2006-01-18 10:18  mandrav

svn1799:  * Fixed another assertion.

2006-01-18 10:04  mandrav

svn1798:  * Fixed some more assertions.

2006-01-18 10:03  mandrav

svn1797:  * Removed "NewBuild" suffix from all project files.

2006-01-18 10:00  mandrav

svn1796:  * Deleted all old (obsolete) project files.

2006-01-18 08:33  thomasdenk

svn1795:  Early destruction of "start here" page on application
	  exit.

2006-01-18 08:14  mandrav

svn1794:  * Fixed an assertion warning.
	  * Fixed linux crash on project closing (bug #1375375).

2006-01-18 08:00  mandrav

svn1793:  * Added two new files of AStyle plugin in the project
	  file.

2006-01-18 06:37  ceniza

svn1792:  * Added Preview button in AStyle's settings dialog.
	  * Increased dialog size.
	  * Sample wxTextCtrl enabled for writing.
	  * Made the Preview button work (it wasn't added just for fun :)).
	  * Added two more files to get unsaved settings to apply when
	  clicking Preview.
	  * Modified Makefile.am accordingly.
	  * NOTE 1: Some weird behavior when clicking Preview more than
	  once for some predefined styles (I'll just blame AStyle itself
	  for this one).
	  * NOTE 2: The new files still need to be added to the
	  Code::Blocks project file (it's beyond my powers).

2006-01-18 02:51  pecan

svn1791:  Keybinder v0.4.11
	  Fixed GTK Update() crash
	  Fixed GTK not savings key bindings
	  Modified Attach/UpdateAllCmd for speed

2006-01-17 23:15  byo

svn1790:  Adopted to new editor environment (cut/copy/paste are
	  now enabled)

2006-01-17 20:25  mandrav

svn1789:  * Removed all kinds of yields from MessageManager.

2006-01-17 19:49  mandrav

svn1788:  * Fixed flickering when opening a project.

2006-01-17 17:04  cyberkoa

svn1787:  Modify Makefile.am to add in wxsadvimageproperty.*

2006-01-17 17:03  cyberkoa

svn1786:  Modify Makefile.am to add in wxsstaticbitmap.*

2006-01-17 14:30  mandrav

svn1785:  * Added some useful base functions in EditorBase:
	  Cut(), Copy(), Paste(), Undo(), Redo(), CanUndo(), CanRedo(),
	  CanPaste() and HasSelection(). Custom editors (like wxSmith's)
	  can now use these.

2006-01-17 13:42  mandrav

svn1784:  * Fixed GUI flickering when using backtick'd
	  expressions.

2006-01-17 12:47  mandrav

svn1783:  * Fixed some more asserts.

2006-01-17 11:14  tiwag

svn1782:  keybinder-unix C::B-projectfile added

2006-01-17 11:12  tiwag

svn1781:  Updated CB-unix projectfile (has still a bug in
	  building the codecompletion plugin

2006-01-17 10:51  mandrav

svn1780:  * Fixed some asserts in debug mode (partially patch
	  #1400615).
	  * Fixed editor settings dialog flickering when changing language
	  in "Colors" page.

2006-01-17 08:48  mandrav

svn1779:  * Added Message() scripting command.

2006-01-17 07:14  rickg22

svn1778:  Fixed Codecompletion hang when adding files to project.

2006-01-17 04:28  rickg22

svn1777:  Reduced memory overhead of CodeCompletion by 50%. Fixed
	  a bug in the SearchTree class.

2006-01-16 22:36  mandrav

svn1776:  * Updated script bindings.

2006-01-16 14:41  mandrav

svn1775:  * Updated script bindings.

2006-01-16 14:13  thomasdenk

svn1772:  Moved files not belonging to build out of trunk.

2006-01-16 14:12  mandrav

svn1771:  * Fixed an error in debugger wxString parsing.
	  Sometimes it would wrongly parse commas (,).

2006-01-16 13:55  mandrav

svn1770:  * Fixed infinite loop when trying to replace a macro
	  which doesn't exist.
	  * Save file before compiling. Applies to single files not
	  belonging to a project (bug #1403578).

2006-01-16 13:27  mandrav

svn1768:  * Implemented setting project dependencies when
	  importing MSVC workspaces/solutions.

2006-01-16 12:31  mandrav

svn1767:  * Fixed crash when importing MSVC workspaces.

2006-01-16 11:24  mandrav

svn1766:  * Added support for backtick'd expressions under
	  windows (e.g. `wx-config --libs`).

2006-01-16 10:27  mandrav

svn1765:  * Debugger breakpoints are now kept in-sync with the
	  editor at all times (except when the debuggee is running in which
	  case the debugger is not under our control).

2006-01-16 08:48  mandrav

svn1764:  * Fixed bug with resource include dirs policy setting
	  not remembered (bug #1405856).

2006-01-15 19:09  mandrav

svn1763:  * Fixed a few annoying bugs in "find" dialog, mainly
	  the non-focused text box in linux (patch #1382768).

2006-01-15 15:37  mandrav

svn1762:  * Fixed math rounding errors in codestats plugin (patch
	  #1383774).

2006-01-15 15:33  mandrav

svn1761:  * Fixed file associations settings to be set per-user
	  instead of globally (patch #1393650).

2006-01-15 12:15  mandrav

svn1760:  * Removed all "#pragma interface" and "#pragma
	  implementation" from code. They are not useful nowadays and
	  actually have caused linker errors for linux builds in the past.

2006-01-15 11:54  mandrav

svn1759:  * Fixed a priority issue for CXXFLAGS in autotools
	  build system (patch #1406533).

2006-01-15 11:51  mandrav

svn1758:  * Fixed "open #include" function (patch #1406508 by
	  killerbot).
	  * Removed $Id and $Date SVN keywords from a couple of manager
	  headers which caused a full rebuild after each commit.
	  * Debugger startup user commands are now passed as a block of
	  commands instead of each one separately (fixes potential hang
	  when defining gdb functions).

2006-01-15 11:29  mandrav

svn1757:  * Fixed a linux build error.
	  * Added some #includes to make the source compile with gcc 3.3.6.

2006-01-15 02:34  rickg22

svn1756:  Moved Manager::Yield() out of Message Manager.
	  Added some calls to it in the compiler plugin.
	  Replaced Manager::Yield() with Manager::ProcessPendingEvents() in
	  some functions.

2006-01-14 20:02  mandrav

svn1755:  * Fixed small issues with the title bar updating.

2006-01-14 10:04  mandrav

svn1754:  * Updated all code to use Manager::Yield() instead of
	  wx*Yield().
	  * Fixed saving bug that was introduced a couple of revisions
	  back.

2006-01-14 07:08  rickg22

svn1753:  Fixed potential crash on exit with wxAUI.

2006-01-14 04:44  rickg22

svn1752:  Spooky crash on exit finally fixed! :)

2006-01-13 21:24  mandrav

svn1751:  * Fixed bug where on file close the "file modified,
	  save?" dialog would appear twice, if the user clicked "no" the
	  first time.

2006-01-13 19:19  mandrav

svn1750:  * Fixed broken "Settings->Editor->Show spaces".

2006-01-13 16:56  mandrav

svn1749:  * Fixed a compilation error (without PCH) in
	  sdk/as/sc_wxstring.cpp.

2006-01-13 15:12  mandrav

svn1748:  * Fixed project tree flickering when opening/closing a
	  workspace (partially patch #1334208).
	  * Replaced obsolete EDMAN() and PRJMAN() macros in exporter and
	  wxSmith plugins.

2006-01-13 14:19  mandrav

svn1747:  * Removed macro ugliness from sdk/settings.h and
	  sdk/globals.h (patches #1403098 and #1403468).
	  * Fixed broken GLSL and CG lexers.

2006-01-13 13:13  mandrav

svn1746:  * Code to prepare for plugins' internationalization
	  (patch #1344970).

2006-01-13 12:17  mandrav

svn1745:  * Added SmartWin++ template (windows only) (patch
	  #1341659).
	  * Updated all templates to the current version.

2006-01-13 12:05  mandrav

svn1744:  * Added FLTK template (windows only) (patch #1339625).

2006-01-13 11:59  mandrav

svn1743:  * Removed invalid error message from MIME handler when
	  user presses cancel in the open dialog (patch #1347533).

2006-01-13 11:55  mandrav

svn1742:  * Fixed error logging on import project failure (patch
	  #1382521).

2006-01-13 11:50  mandrav

svn1741:  * Find dialog now remembers the order of previous
	  searches (patch #1382962).

2006-01-13 11:40  mandrav

svn1740:  * Updated GLSL and CG lexer files (patch #1402156).

2006-01-13 11:37  mandrav

svn1739:  * Updated CSS lexer keywords (patch #1402335).

2006-01-13 11:32  mandrav

svn1738:  * Fix for creating new project at the root of the drive
	  (e.g. C:\) (patch #1404309).

2006-01-13 10:59  mandrav

svn1737:  * Fixed linux build (broken in wxFlatNotebook) - stupid
	  typo.

2006-01-13 09:15  mandrav

svn1736:  * Fixed saving XML documents (project, workspace, etc)
	  in paths with unicode characters.

2006-01-13 08:50  mandrav

svn1735:  * Fixed linux build (broken in wxFlatNotebook).

2006-01-13 08:47  mandrav

svn1734:  * Fixed notebooks' appearence not restoring correctly
	  sometimes.

2006-01-13 07:37  rickg22

svn1733:  Fixed the Cache loading bug in Code completion.

2006-01-12 23:47  byo

svn1732:  * Fixed resource-clearing bug when importing xrc file.
	  * Removed debug log with blocking events called when events are
	  already blocked (it's normal in some cases)
	  * No longer "Can not open..." dialog when opening xrc file.
	  * Some other bug fixes

2006-01-12 17:52  mandrav

svn1731:  * Fixed debugger watches tree parsing error when
	  parsing templates.

2006-01-12 17:37  mandrav

svn1730:  * Fixed "View->Go to function".

2006-01-12 17:21  mandrav

svn1729:  * Improved wxString/wxChar support in debugger.

2006-01-12 15:51  thomasdenk

svn1728:  Removed message box providing wrong information.

2006-01-12 14:20  mandrav

svn1727:  * Added code-completion option to use scintilla's
	  auto-complete instead of our own. This also fixes bug #1399921.

2006-01-12 12:41  mandrav

svn1726:  * Fixed crash when loading an invalid editor lexer
	  file.

2006-01-12 12:28  mandrav

svn1725:  * Fixed syntax error in C/C++ lexer file.

2006-01-12 12:16  mandrav

svn1724:  * Fixed error in wxSmith project file (linker dir).

2006-01-12 11:31  mandrav

svn1723:  * Updated wxFlatNotebook to version 1.1.

2006-01-12 10:23  mandrav

svn1722:  * Optimized debugger regular expressions.
	  * Fixed bug with special characters in filenames (debugger).

2006-01-12 08:29  mandrav

svn1721:  * Changed some default editor settings:
	  - Highlight line: OFF
	  - Gutter: OFF
	  - End file with extra line: ON
	  - Show line numbers: ON.

2006-01-12 05:41  cyberkoa

svn1720:  Adding support for Image property
	  - Add in wxsadvimageproperty.cpp,wxsadvimageproperty.h
	  - Modify advprop.cpp,advprop.h (committed by mandrav)
	  
	  Adding wxStaticBitmap widget
	  - Add in wxsstaticbitmap.cpp,wxsstaticbitmap.h & icons
	  - Modify
	  widget.cpp,wxsdefwidget.cpp,wxsdefwidget.h,wxsproperties.cpp,
	  wxsproperties.h

2006-01-11 19:34  pecan

svn1719:  Keybinder v0.4.10 separated out and demangled the GTK
	  menuitem update Code

2006-01-11 18:40  pecan

svn1718:  Keybinder v0.4.9 SetInvalid flag for
	  RemoveEventHandler;
	  Surrounded menuitem rebuild code with __WXMSW__

2006-01-11 13:26  mandrav

svn1717:  * Debugger tooltip evaluation now supports wxString too
	  :)

2006-01-11 12:41  mandrav

svn1716:  * Added new event (EVT_SWITCH_VIEW_LAYOUT) to request
	  the app to switch view layout.
	  * The debugger now has options to automatically switch layouts on
	  debugging session start/end. Configured in "Settings->Debugger".
	  * Removed $Id and $Date SVN keywords from sdk/manager.h which
	  caused a full rebuild after every commit.

2006-01-11 11:29  mandrav

svn1715:  * Fixed non-working color buttons in
	  "Settings->Environment->Appearence->Docking system".

2006-01-11 11:15  mandrav

svn1714:  * Added support for watching unicode wxString while
	  debugging :)

2006-01-11 09:17  mandrav

svn1713:  * Patched wxPropertyGrid (cyberkoa ported
	  wxAdvImageProperty from the propgrid sample).

2006-01-11 09:15  mandrav

svn1712:  * Added Manager::Yield(). Use this whenever you need to
	  call wxYield() and friends. It's safer. Updated all affected
	  code.

2006-01-11 07:19  rickg22

svn1711:  Revamped the CodeCompletion plugin, Stage 1. Reparsing
	  after saving files is much faster now. Also, the code completion
	  functionality is much faster due to the searchtree structure.
	  Known issues: The Class browser is NOT updated when using the
	  cache. WARNING: Might cause crashes if you have the cache
	  enabled. Please disable it.

2006-01-11 00:08  tiwag

svn1710:  * updated autotools build system to changes from
	  manager framework redesign r1706

2006-01-10 23:46  mandrav

svn1709:  * Fixed hang on exit in linux.
	  * Removed some ugly macros from src/main.cpp.

2006-01-10 23:23  pecan

svn1708:  KeyBinder v0.4.8 fixed disappearing accelerator when
	  duplicate named; fixed potential crash when panel closes

2006-01-10 22:50  mandrav

svn1707:  * Fixed wxAUI linking error in wxMSW.

2006-01-10 22:32  thomasdenk

svn1706:  Manager framework redesign
	  - No more ManagerProxy
	  - Manager::Get() is a lot less expensive than it was before
	  - Manager::Get() never returns an invalid pointer, the same is
	  true for Manager::GetConfigManager.
	  - All other managers are initialised when they are first
	  referenced and deinitialised in order of dependence during
	  shutdown.
	  - The Manager::GetXXX functions will not automatically return
	  null while the application is shutting down. Instead, a valid
	  pointer is returned as long as the respective manager is
	  available, null thereafter.
	  - Plugins are freed before most core managers (for example
	  EditorManager, ProjectManager, and MessageManager) shut down, so
	  there can never be an invalid pointer for these.
	  - The status of all managers can be verified using the static
	  ManagerXXX::Valid() function.
	  - PersonalityManager correctly works for the --profile=ask option
	  now (showing a list of available profiles)

2006-01-10 22:16  mandrav

svn1705:  * Fix for recent files/projects lists to always display
	  the full filename (patch #1402109).

2006-01-10 22:11  mandrav

svn1704:  * Upgraded wxAUI to 0.9.1 (partial patch #1402085).
	  * Added wxAUI as a dependency of src in the unix project file.

2006-01-10 20:52  mandrav

svn1703:  * Add new files to recent list (patch #1402078).

2006-01-10 19:21  mandrav

svn1702:  * Fixed the crash-before-exit in linux.

2006-01-10 14:06  mandrav

svn1701:  * Compiler errors don't highlight the whole line with
	  the ugly orange color anymore. Instead, a red mark is used in the
	  margin.
	  * Removed obsolete editor's color settings (like "compiler
	  error").
	  * Updated wxScintilla to version 1.67.

2006-01-10 09:40  mandrav

svn1700:  * Converted ToDoList from message log to docking
	  window.
	  * Added menu "View->To-Do list".
	  * Converted menus "Debug->Debugging windows->*" to checkable.

2006-01-09 23:34  ceniza

svn1699:  * Minor modifications to remove some warnings when
	  compiling wxPdfDocument with -Wall

2006-01-09 22:47  pecan

svn1698:  KeyBinder:0.4.6 Rebuild menuitems with misaligned
	  bitmaps and accelerators

2006-01-09 18:07  zlika

svn1697:  Added support for Matlab m-files

2006-01-09 17:42  thomasdenk

svn1696:  Removed wxImage::AddHandler()

2006-01-09 17:40  mandrav

svn1695:  * Added some error checking in debugger logging
	  functions.

2006-01-09 17:20  thomasdenk

svn1694:  Patch [1395617] (replace a macro with wxString)

2006-01-09 16:01  thomasdenk

svn1693:  ALL_FILES_MASK for Linux (Bug 1364164 / Patch 1383214)

2006-01-09 14:18  thomasdenk

svn1692:  Removed "safe but slow" option from dialog (option is
	  unused since months ago).

2006-01-09 14:03  mandrav

svn1691:  * Fixed bug that the editor tab would display the full
	  filename for opened empty files.

2006-01-09 13:49  mandrav

svn1690:  * Removed un-needed "Refresh" button from disassembly
	  and call-stack debugger windows.

2006-01-09 13:40  mandrav

svn1689:  * All debugging windows are now kept in sync at all
	  times.
	  * Debugger commands don't get queued if the relevant debugging
	  window isn't open (e.g. if watches-tree is not visible no watches
	  evaluation occurs).

2006-01-09 11:00  tiwag

svn1688:  * Bugfix - radiobox labels in find-dialog

2006-01-09 10:46  thomasdenk

svn1687:  Fixed "save layout" dialog on application exit

2006-01-08 22:22  mandrav

svn1686:  * In Settings->Compiler->Programs page the browse for
	  file dialog to select executables, under non-windows platforms
	  has * mask now instead of *.exe (bug #1358345).

2006-01-08 15:48  mandrav

svn1685:  * Fixed bug with "Tabs at top" notebook tabs context
	  menu entry.

2006-01-08 15:45  mandrav

svn1684:  * Fix a (highly) potential crash when destroying
	  EditorManager.

2006-01-08 15:36  mandrav

svn1683:  * Fixed auto-complete items loading/saving
	  configuration (would make them all upper-case).

2006-01-08 15:23  pecan

svn1682:  keybinder: fixed GTK menu OwnerDraw stmt err w/ifdefs

2006-01-08 13:21  mandrav

svn1681:  * File properties dialog now displays info about the
	  file (patch #1392899).

2006-01-08 12:55  mandrav

svn1680:  * ToDo list fix to work both with single-click and
	  double-click (patch #1395490).

2006-01-08 12:48  mandrav

svn1679:  * Expand folded blocks of code if compiler error is
	  inside it (patch #1394856).

2006-01-08 12:42  mandrav

svn1678:  * Added "Edit->Highlight mode" menu entry for changing
	  the highlighting for the current document.

2006-01-08 10:50  mandrav

svn1677:  * Keep "Start here" page in-sync with the actual
	  projects/files history when removing files from the history
	  (partial patch #1399518 by sethjackson).
	  * Added "Recent files" in "Start here" page.

2006-01-08 09:41  mandrav

svn1676:  * All docking windows are now "named". This will fix
	  the problem of breaking saved layouts when adding new dock
	  windows with each version. Just delete your existing ones (for
	  the last time).
	  * Fixed some bugs in AnnoyingDialog.
	  * Used AnnoyingDialog for layout saving confirmation.

2006-01-08 03:52  pecan

svn1675:  Keybinder:Fixed bitmapped menus bug

2006-01-07 21:35  mandrav

svn1673:  * Fix for saving files in the correct encoding.

2006-01-07 20:51  thomasdenk

svn1672:  Bug 1398832 (ambiguous Menu shortcuts)

2006-01-07 20:46  mandrav

svn1671:  * Converted debugger breakpoints dialog to docking
	  window.
	  * Added cbEditor::AddBreakpoint() and
	  cbEditor::RemoveBreakpoint().

2006-01-07 18:37  mandrav

svn1670:  * wxAUI fixed to correctly remember closed floating
	  panes.

2006-01-07 16:47  mandrav

svn1669:  * Changed all debugging windows to be floating the
	  first time.
	  * Applied patch that fixes debugger "attach to process".

2006-01-07 13:52  mandrav

svn1668:  * When dragging a floating window around, if pressing
	  ALT no docking will take place.
	  * A couple of fixes to wxAUI (patch submitted to its authors).
	  * Fixed a potential crash under windows when shutting down and
	  docking windows were open. This might fix the similar problem in
	  linux but it hasn't been checked.
	  * The default view layout can no longer be deleted but rather
	  reverted to "factory defaults".
	  * Added wxAUI as a dependency of main app. This forces a re-link
	  of the app when wxAUI is rebuilt.

2006-01-07 10:29  mandrav

svn1667:  * Dummy commit to update the "Date" keyword (where do
	  these characters come from?).

2006-01-07 10:12  mandrav

svn1666:  * Added "Open with" menu when right-clicking a file in
	  the project tree.
	  * Removed "Build->Compiler options" which led to confusion.
	  * Disabled "Build->Export Makefile". It was not working correctly
	  anyway.

2006-01-06 18:27  mandrav

svn1665:  * Fixed bug in wxFlatNotebook with SetSelection()
	  before painting for the first time.
	  * Changed TinyXML's streaming to use tabs instead of spaces.
	  Shaved of about 1/3 of configuration file's size.

2006-01-06 16:39  mandrav

svn1664:  * Fixed whitespaces getting lost when streaming out
	  from TinyXML.

2006-01-06 15:55  mandrav

svn1663:  * Fix for wxSmith to compile again with the latest SDK
	  changes.

2006-01-06 12:30  mandrav

svn1662:  * Applied locale patch by Olorin (patch #1397286).

2006-01-06 12:27  mandrav

svn1661:  * Converted ProjectManager to use wxFlatNotebook.
	  Updated all affected code.
	  * Added context menu entries to all notebooks to choose tabs
	  position (top-bottom).
	  * Updated wxFlatNotebook from latest CVS.

2006-01-05 21:53  mandrav

svn1660:  * Fixed update.bat (windows) and update script (unix).

2006-01-05 21:46  mandrav

svn1659:  * Converted MessageManager from wxNotebook to
	  wxEvtHandler. It now uses wxFlatNotebook. Updated all affected
	  code.

2006-01-05 08:10  mandrav

svn1658:  * Fixed a build error in keybinder plugin (failed only
	  on some systems).
	  * Added -fexceptions compiler option to a couple of contrib
	  plugins. Without it, precompiled headers were not used.

2006-01-04 23:43  thomasdenk

svn1657:  Added an extra QuoteStringIfNeeded (bug 1397329).
	  Actually this is redundant, but it works this way, and doesn't
	  without.

2006-01-04 22:20  mandrav

svn1656:  * Added wxFlatNotebook in the autotools build system.

2006-01-04 20:39  ceniza

svn1655:  * Added missing -fexceptions flag to the project file
	  so it'll use the precompiled header

2006-01-04 19:58  mandrav

svn1654:  * Added wxFlatNotebook in the project (linux build
	  system *not* yet updated).
	  * Removed old code for editors close button.
	  * Added cbEVT_HIDE_DOCK_WINDOW event.
	  * EditorManager now uses wxFlatNotebook.
	  * Added wxFlatNotebook settings in environment settings dialog.
	  * Added wxAUI settings in environment settings dialog.
	  * Turned "open files list" into a standalone docking window.
	  * Pumped SDK version to 1.6.3.
	  * Fixed bug that allowed adding duplicate files in project.

2006-01-04 15:13  thomasdenk

svn1653:  Enabled Unicode pathnames for configuration file

2006-01-04 09:18  thomasdenk

svn1652:  Inserted deliberate malfunction into autorevision.
	  autorevision will now continue silently in absence of revision
	  information. This defeats the purpose of revisioning, but it
	  allows to build the project from unversioned files.

2006-01-03 01:25  pecan

svn1651:  keybinder;fixed misattaches;added textCtrl attaches

2006-01-02 17:41  mandrav

svn1648:  * Fixed the problem of loading ANSI files with unicode
	  characters in them (like sdk/as/source/*).

2006-01-02 14:13  tiwag

svn1647:  * Open the Target-"Build options"-Dialog when
	  doubleclicking
	  a target list-entry in the "Project/targets options"->"Build
	  targets" list.

2006-01-02 13:45  tiwag

svn1646:  * Added "EditOnDoubleclick" feature for List-entries of
	  - Linker Link-Libraries,
	  - Compiler Include-Directories
	  - Linker Library-Directories
	  - Resource Compiler -Directories
	  in the Build-Options Dialog.

2006-01-02 13:19  byo

svn1645:  * Added missing includes
	  * Fixed some bugs on linux
	  * Reorganizeed handling of params when creating code
	  * Properties-handling code cleanup

2006-01-02 10:50  mandrav

svn1644:  * Fixed toolbars drawing bug.

2006-01-02 09:38  mandrav

svn1643:  * Removed "always run pre-build steps" check box from
	  compiler options. Pre-build steps are always run anyway.
	  * Fixed bug #1394098.

2006-01-01 19:28  mandrav

svn1642:  * Re-enabled wxCheckListBox in wxSmith because it
	  wouldn't load properly (don't know why it was disabled in the
	  first place).

2006-01-01 19:23  mandrav

svn1641:  * Renamed CodeBlocks-NewBuild-UNI.cbp to
	  CodeBlocks-NewBuild.cbp

2006-01-01 19:21  mandrav

svn1640:  * Deleted CodeBlocks-NewBuild.cbp.

2006-01-01 19:17  thomasdenk

svn1639:  Correct clipboard import/export at application
	  startup/exit.

2006-01-01 18:59  mandrav

svn1638:  * Merged branch aui_test to trunk (step 2).

2006-01-01 18:59  mandrav

svn1637:  * Merged branch aui_test to trunk (step 1).

2005-12-31 16:30  thomasdenk

svn1634:  Unicode fix and replaced macro with template parameter

2005-12-31 15:39  pecan

svn1633:  Unicode filename fixed; event.Skip() fix; multi-profile
	  enabled

2005-12-31 14:11  zlika

svn1632:  Fixed an error in the CBDIR path

2005-12-31 13:46  thomasdenk

svn1631:  Fixed open default workspace

2005-12-31 07:15  tiwag

svn1629:  * added wx_pch.h

2005-12-30 19:22  byo

svn1627:  Fixed bugs with custom widgets

2005-12-30 18:03  tiwag

svn1626:  * FindInFiles - Messagebox appears when no search
	  result could be found and "Delete old Search results" is selected
	  (as it was before the keep searches feature )

2005-12-30 18:00  tiwag

svn1625:  * Bugfix wx_pch.h was not in project file and was not
	  copied from /usr/local/share/codeblocks/templates to the new
	  project directory

2005-12-30 17:58  tiwag

svn1624:  * Unicode bugfix in wx-widgets Application templates,
	  added a statusbar and the About dialog shows Platform
	  (Linux/Windows) and wxWidgets-version and build information
	  (ANSI/unicode)

2005-12-30 12:06  thomasdenk

svn1623:  Rewrote OnStartHereLink to properly handles numbers.
	  Applied patch to display *.cbp instead of *.* in file selector.

2005-12-30 11:50  mandrav

svn1621:  * Fixed bug with generated object name for windows
	  resources.

2005-12-30 03:32  byo

svn1620:  Missing files :/

2005-12-30 03:30  byo

svn1619:  * Renamed GetWxString, GetCString, ValidateIdentifier
	  to wxsGetWxString, wxsGetCString, wxsValidateIdentifier
	  * Moved wxsCodeReplace function from wxsDefWidget class to
	  globals
	  * Removed useless WXSCLASS macro
	  * Removed code which was used when there was no Property Grid
	  * Removed UNIX project file (compilation will be supported
	  through automake)
	  * Added Custom Widget support

2005-12-29 23:20  byo

svn1618:  wxSmith project cleanup

2005-12-29 22:57  mandrav

svn1617:  * Fixed contrib/copystrings plugin to build with
	  unicode.

2005-12-29 21:25  mandrav

svn1616:  * Fixed a unicode issue in keybinder.

2005-12-29 16:47  mandrav

svn1615:  * Added windows resource files (*.rc) in default
	  "Resources" mask in project tree (patch #1388369).

2005-12-29 15:03  mandrav

svn1614:  * Added propgrid files in CodeBlocks-unix.cbp.
	  * Fixed LD_LIBRARY_PATH when running a program. It adds the
	  target's working directory to the runtime linker's path
	  (non-windows platforms).

2005-12-29 14:28  mandrav

svn1613:  * Fix wxSmith build using autotools.
	  * Fix KeyBinder plugin to build in linux.

2005-12-29 09:46  mandrav

svn1612:  * Updated autotools build for the propgrid move from
	  wxSmith to sdk.

2005-12-29 09:43  mandrav

svn1611:  * Changed all NewBuild project files to use
	  wx26/unicode.
	  * Fixed all unicode errors in KeyBinder plugin.
	  * Removed propgrid from wxSmith and updated it to use
	  sdk/propgrid.

2005-12-28 21:33  mandrav

svn1610:  * Fixed broken compile-single-file.
	  * "Build", "Build and run", "Run", "Rebuild" and "Clean" compiler
	  actions are now available for single files (without project).

2005-12-28 11:18  thomasdenk

svn1609:  Added propgrid directory to linker path

2005-12-28 10:56  thomasdenk

svn1608:  Applied Lieven's patch to codestats, avoiding division
	  by zero if run on an empty project.

2005-12-28 09:16  thomasdenk

svn1607:  Added null pointer check.

2005-12-27 21:31  thomasdenk

svn1606:  Added propgrid line to configure.in

2005-12-27 19:28  thomasdenk

svn1605:  Changed behaviour of ConfigManager::Write(wxString,
	  wxString, bool ignoreEmpty)
	  - if ignoreEmpty is true and the value is wxEmptyString,
	  the command is not ignored, but an existing key is actually
	  *deleted*
	  - this fixes bug [1389932]
	  - it is incorrect behaviour, but it is what people *expect* to
	  happen

2005-12-27 18:22  thomasdenk

svn1604:  Another two Unicode fixes

2005-12-27 18:14  thomasdenk

svn1603:  Added wxPropGrid to the SDK.
	  Behold the wrath of Makefile.am :)

2005-12-27 17:31  thomasdenk

svn1602:  Slightly improved "Goto declaration" menu
	  - does not show if Token cannot be found anyway (no more
	  disturbing error message)
	  - if implementation is known, "Goto implementation" is available
	  
	  This still needs to be worked on, "Goto implementation" could be
	  shown a lot more often if the class name / namespace was looked
	  up explicitely.

2005-12-27 16:36  tiwag

svn1601:  * bugfix add standard LibDir "$(#WX.lib)\\gcc_dll"
	  instead of bogus "$(#WX.lib)\\gcc_dll\\msw"

2005-12-27 14:36  thomasdenk

svn1600:  Global search for wxString::operator<<(int), wrapping
	  int in Format()
	  Not touched: wxScintilla and contrib plugins.

2005-12-27 08:11  rickg22

svn1599:  Possibly fixed a unicode bug with linux builds in
	  searchtree.h
	  
	  Optimized CodeCompletion with the following measures:
	  1) Moved parserthread constants to wxStrings
	  2) Added a "peek cache" to the tokenizer.

2005-12-26 16:20  rickg22

svn1598:  Added wxWindows Library license to licenses.h

2005-12-26 16:19  rickg22

svn1597:  Added new contrib plugin: copystrings

2005-12-26 16:13  thomasdenk

svn1596:  Added missing *.so to dialog for link libraries under
	  Linux

2005-12-26 13:27  thomasdenk

svn1595:  GotoError for non-project files

2005-12-26 12:35  thomasdenk

svn1594:  Added glsl (OpenGL shading language) lexer by dodowell

2005-12-26 00:29  thomasdenk

svn1593:  Bugfix for the $(VARIABLE)libfoo.a problem (1344049)

2005-12-25 23:04  thomasdenk

svn1592:  Fixed recursive CheckForExternallyModifiedFiles bug
	  (1382961)

2005-12-25 22:02  thomasdenk

svn1591:  Patch for auto-indent bracket bug (1387621)

2005-12-25 21:50  thomasdenk

svn1590:  Fixed white space condension in configuration.
	  This fixes bug 1382551 at the same time.

2005-12-25 21:27  thomasdenk

svn1589:  Applied patch for Bug 1389450 (Title bar shows file was
	  created)
	  - I am unable to reproduce the bug, but the patch is harmless :)

2005-12-25 07:43  rickg22

svn1588:  * Fixed unicode bug in searchtree.cpp
	  * Optimized a bit of searchtree
	  * Added CODECOMPLETION_PROFILING #define for profiling
	  codecompletion (parser.cpp)

2005-12-24 13:51  rickg22

svn1587:  Included searchtree.* in CodeBlocks-Newbuild.cbp

2005-12-24 13:29  rickg22

svn1586:  Added appglobals to src/src/Makefile.am

2005-12-24 13:24  rickg22

svn1585:  Added searchtree to Makefile.am

2005-12-24 07:03  rickg22

svn1584:  Added new SearchTree class for the codecompletion
	  plugin

2005-12-23 11:19  tiwag

svn1583:  * FindInFiles "Search results" tab keeps old searches
	  optionally (checkbox added to FindInFiles dialog box)

2005-12-23 08:24  mandrav

svn1582:  * Fixed some issues with unicode.

2005-12-22 14:51  mandrav

svn1581:  * Changed the build process to use a state machine.
	  Each step of the process is executed before going to the next.
	  So, for example, if a pre-build step alters a file in the target
	  which causes recompilation of the target, it is now caught
	  correctly.
	  * Fixed all issues with custom vars not being set correctly (or
	  timely).

2005-12-22 14:47  mandrav

svn1580:  * Added workspace context menu entries to Save, SaveAs
	  or Close the workspace.
	  * Fixed remembering the selected target when a project is opened
	  and not ask to save the project every time the active target
	  changes (unless it's different from the original).

2005-12-22 10:48  mandrav

svn1579:  * Added standard wxWidgets resources in the main
	  executable. This fixes the right-pointing cursor showing over the
	  editor's margin.

2005-12-20 21:59  thomasdenk

svn1578:  Per-target variables work properly now.
	  Added unified project file (ANSI/Unicode).
	  Fixed copyright sign in "start here" page

2005-12-20 20:34  thomasdenk

svn1577:  Applied patch to warn about missing workspace

2005-12-20 20:19  thomasdenk

svn1576:  Enabled russian roulette mode for tab menu.

2005-12-20 18:52  thomasdenk

svn1575:  Do not show missing files in history.

2005-12-20 18:06  thomasdenk

svn1574:  Bugfix.

2005-12-20 15:31  thomasdenk

svn1573:  Fixed tab-menu save/saveall

2005-12-20 13:07  mandrav

svn1572:  * Updates in the main project file.

2005-12-20 12:24  mandrav

svn1571:  * Full support for projects residing in UNC paths.

2005-12-20 10:04  mandrav

svn1570:  * Fixed minor cosmetic error in importing MSVC7+
	  projects (patch by der_meister).

2005-12-20 09:56  mandrav

svn1569:  * Fixed code-completion wrong return type recognition
	  for list of functions available in file (patch by killerbot).

2005-12-20 09:53  mandrav

svn1568:  * Added missing "Not found" message for some failed
	  searches (patch by s280z28).

2005-12-20 09:46  mandrav

svn1567:  * Speed fix for saving/loading the code-completion
	  cache from/to a network drive (patch by s280z28).

2005-12-20 09:24  mandrav

svn1566:  * Debugger watches are now expanded by default.
	  * When a watched value changes (turns red), it is automatically
	  expanded and made visible.
	  * Added watches tree context menu entry "Dereference pointer" to
	  dereference the selected pointer value.
	  * Added watches tree context menu entry "Watch '*this'" to add a
	  watch for *this.

2005-12-19 22:43  thomasdenk

svn1565:  Streamlined project file.

2005-12-19 22:38  byo

svn1564:  * Fixed bugs in wxCheckListBox (refreshing when content
	  changed, proper data order when sorted flag is on, clearing
	  arrays when editing fixed)
	  * Fixed bug in code created in wizard - id argument is required
	  for wxStatusBar in Linux

2005-12-19 16:40  byo

svn1563:  Updated wxSmith wizard

2005-12-19 10:07  byo

svn1562:  Missing files

2005-12-19 01:01  thomasdenk

svn1561:  Single instance dialog explains better what is wrong.

2005-12-18 22:01  byo

svn1560:  * Added missing files
	  * Fixed typo in Makefile.am

2005-12-18 19:20  mandrav

svn1559:  * Fixed cbProject::AddFile() not working correctly for
	  precompiled headers (bug #1378737).

2005-12-18 19:11  mandrav

svn1558:  * Make sure the open files tree height is not saved if
	  it is zero.

2005-12-18 18:57  thomasdenk

svn1557:  Applied patch for filegroups saving [1384504]

2005-12-18 18:10  mandrav

svn1556:  * Updated XML lexer (patch by sethjackson).
	  * Added CSS lexer (contributed by sethjackson).

2005-12-18 17:55  zlika

svn1555:  Fixed a bug in the parsing function and a compiler
	  warning

2005-12-18 17:54  zlika

svn1554:  Fixed a compiler warning

2005-12-18 17:14  thomasdenk

svn1553:  Removed inline keyword.

2005-12-18 16:44  mandrav

svn1552:  * Enabled popup menu in editor tabs (unix). Working
	  good with wxGTK-2.6.1 and Gnome 2.12. If it gives trouble to some
	  people we might as well make it optional...

2005-12-18 16:28  mandrav

svn1551:  * Added missing #include in project properties dialog.

2005-12-18 14:20  thomasdenk

svn1550:  Removed gcc attributes.

2005-12-18 14:19  thomasdenk

svn1549:  CRC32 calculation uses wxUInt32 now.

2005-12-18 13:28  mandrav

svn1548:  * Fixed some warnings given by gcc4.0 in
	  configmanager.cpp.

2005-12-18 12:44  mandrav

svn1547:  * Added "Print" toolbar button.
	  * Added large icon for "Print".

2005-12-18 12:24  mandrav

svn1546:  * Fixed C::B building itself, using
	  CodeBlocks-unix.cbp. Just run ./update after building and use
	  devel/run.sh or output/run.sh to launch it.

2005-12-18 10:22  mandrav

svn1545:  * Used replacement of offsetof() macro in script
	  bindings to avoid warnings.

2005-12-18 10:15  mandrav

svn1544:  * Fixed bug with printing only one page.

2005-12-18 01:46  thomasdenk

svn1543:  A few Unicode fixes.

2005-12-17 18:16  thomasdenk

svn1542:  Replaced double-underscore macros with
	  triple-underscore due to problems encountered with codestats
	  plugin.

2005-12-17 15:38  byo

svn1541:  * Added wxCheckListBox widget
	  * Changed wizzard to wizard
	  * Fixed some Unicode bugs

2005-12-17 15:38  rickg22

svn1540:  Fixed unicode bug with __DATE__ and __TIME__.

2005-12-17 15:22  pecan

svn1539:  Allowed more key combos & fixed missing menu labels

2005-12-17 13:41  thomasdenk

svn1538:  Modifications for saving wxDockIt's binary data in
	  Unicode

2005-12-17 01:48  thomasdenk

svn1537:  Simplified regex, renamed a few variables, exchanged
	  2-3 lines... seems to work nicely now.

2005-12-17 00:40  byo

svn1536:  Updated to wxPropertyGrid 1.0.6.1

2005-12-16 23:26  byo

svn1535:  * Fixed some unicode-compilation problems
	  * Does not load url in wxHtmlWindow in editor (loading may take
	  some time)
	  * Added wxSlider widget
	  * Added scroll bar to widget palette
	  * Fixed some invalid project options in Wizard

2005-12-16 16:52  thomasdenk

svn1534:  Fixed per-project variables.

2005-12-16 16:34  thomasdenk

svn1533:  Corrected autorevision path.

2005-12-16 14:20  byo

svn1532:  Added widgets:
	  * wxChoice
	  * wxHtmlWindow
	  * wxStaticBox

2005-12-16 12:52  thomasdenk

svn1531:  Replaced const char* with const wxChar*
	  This hopefully fixes the Unicode crash, in any case it is not
	  worse... :)

2005-12-16 12:40  thomasdenk

svn1530:  Fixed "Project tree shows unmodified file as modified"
	  bug [1381831]
	  Use _C() for filename in ConfigManager.

2005-12-16 11:34  byo

svn1529:  Added wxListCtrl widget

2005-12-16 11:08  thomasdenk

svn1528:  Apply syntax styling on "Save As" [Bug 1382152]

2005-12-16 10:41  thomasdenk

svn1527:  Added filter for *.so files to search dialog.
	  http://sourceforge.net/tracker/index.php?func=detail&aid=1354367&group_id=126998&atid=707418

2005-12-16 10:30  thomasdenk

svn1526:  Applied Tip-of-the-day patch.
	  
	  http://sourceforge.net/tracker/index.php?func=detail&aid=1355376&group_id=126998&atid=707418

2005-12-16 10:20  thomasdenk

svn1525:  Placed lockfile for wxSingleInstanceChecker in system
	  temp folder, as some people have the home folder on a NFS volume
	  (locking fails).
	  Changed TiXDocument to use fn_str() rather than mb_str(). This
	  hopefully makes it load Unicode pathnames correctly on all
	  systems now. Changed order of illegal characters to be slightly
	  more efficient.

2005-12-15 21:49  mandrav

svn1524:  * Fixed all errors regarding search and replace using
	  regular expressions.

2005-12-15 21:10  thomasdenk

svn1523:  Added Linux makefile for autorevision again.

2005-12-15 20:24  thomasdenk

svn1522:  Removed the setting of some environment variables
	  (apparently unneeded).
	  **Revert this change if code completion should fail to find its
	  files.**

2005-12-15 20:11  thomasdenk

svn1521:  Removed a debug messagebox.

2005-12-15 20:07  thomasdenk

svn1520:  Updated start here page.
	  Commit changed project (did not save on last commit)

2005-12-15 19:56  thomasdenk

svn1519:  Updated project so it compiles again.
	  Added revision number access functions to ConfigManager
	  Added revision number display to cbException
	  Modified the about dialog using ConfigManager functions
	  Removed revision.h from project (does not belong there)
	  Added revision.h to svn's ignore list

2005-12-15 19:30  thomasdenk

svn1518:  Added recent version of autorevision.

2005-12-15 19:22  thomasdenk

svn1517:  Deleted wrong version of autorevision

2005-12-15 17:38  byo

svn1516:  Added new widgets:
	  * wxScrolledWindow
	  * wxCalendarCtrl
	  * wxChoicebook
	  * wxGenericDirCtrl

2005-12-15 17:07  mandrav

svn1515:  * Fixed FreeBSD discovery macro (from __FREEBSD__ to
	  __FreeBSD__).

2005-12-15 17:02  mandrav

svn1514:  * Added AutoRevision tool in autotools build system.

2005-12-15 15:36  mandrav

svn1513:  * Added AutoRevision tool to automatically update
	  src/revision.h based on the SVN revision of the working copy.
	  * Changed all macros in src/appglobals.h to const wxString.

2005-12-15 11:12  thomasdenk

svn1512:  Added (unsigned char) casts to chars used as array
	  subscripts.
	  This removes the many warning messages produced by wxScintilla.

2005-12-15 10:22  thomasdenk

svn1511:  Few optimizations for times and language, and fixed a
	  typo.

2005-12-14 19:59  pecan

svn1510:  KeyBinder changes 2005/12/14 by pecan

2005-12-14 16:03  thomasdenk

svn1509:  Updated template projects to use global user variables
	  as in
	  http://forums.codeblocks.org/index.php?topic=1635.msg11857#msg11857
	  - all wxwidgets
	  - glfw
	  - ogre_gcc

2005-12-14 01:57  thomasdenk

svn1508:  Took out offending inlines.

2005-12-14 00:14  thomasdenk

svn1507:  Changed gcc attribute macros to lowercase for better
	  readability.
	  Changed likely() and unlikely() so they work with arbitrary
	  values.
	  Added some more attribute macros.
	  Added attributes to the block allocator and to code completion.
	  Marked Manager::isappShutingDown() as deprecated.

2005-12-13 22:21  thomasdenk

svn1506:  Renamed uVarMan to m_uVarMan
	  Recalc variables if project == 0

2005-12-13 13:10  mandrav

svn1505:  * Added missing #include in keybinder plugin.

2005-12-13 13:09  mandrav

svn1504:  * Renamed src/src/globals.h to src/src/appglobals.h.
	  * Fixed linux compilation of src/src/prefix.cpp which was broken
	  with the latest FreeBSD patch.

2005-12-13 12:17  thomasdenk

svn1503:  Bindings for gcc attributes

2005-12-13 12:13  thomasdenk

svn1502:  Added forgotten file.

2005-12-13 12:12  thomasdenk

svn1501:  Fixed 1-pixel error in filesave.png
	  Added plug.png

2005-12-13 10:27  mandrav

svn1500:  * Fixed bug with wrong object extension given to PCHs
	  (since relevant patch by Lieven).

2005-12-13 00:35  mandrav

svn1499:  * Implemented project dependencies inside workspaces.
	  * SDK version pumped to 1.6.2.

2005-12-13 00:19  thomasdenk

svn1498:  Removed wxKeybinder menu entry

2005-12-12 21:20  thomasdenk

svn1497:  Cleaned up MacrosManager:
	  - The compiler no longer hands CustomVars over as environment
	  variables
	  - Variables are looked up in a map rather than brute forcing a
	  wxArrayString
	  - Uses one regex with correct semantics instead of looping
	  through two greedy patterns
	  - Simple while(regex.Matches()) loop, no obscure stuff with break
	  and continue
	  - RecalcVars is called exactly once per target instead of eight
	  times per file
	  - Uses char and operator[] instead of char* and Contains() for
	  single characters
	  - Manager::Get()->GetUserVarManager() is called exactly once
	  instead once per match

2005-12-12 19:48  mandrav

svn1496:  * Added missing
	  src/plugins/contrib/keybinder/Makefile.am.

2005-12-12 18:17  mandrav

svn1495:  * Added "File->Close workspace" menu item.

2005-12-12 18:02  mandrav

svn1494:  * Removed wxKeyBinder from src/src.
	  * Added keybinder plugin (maintained by pecan).

2005-12-12 17:05  mandrav

svn1493:  * Added "--profile" command-line option as an alias to
	  "--personality".

2005-12-12 15:19  byo

svn1492:  Added wxSmith Project wizard

2005-12-12 15:07  thomasdenk

svn1491:  Per-project custom vars were not being replaced.

2005-12-12 14:58  mandrav

svn1490:  * Made find-in-files results display only the relative
	  filename.

2005-12-12 14:41  tiwag

svn1489:  * bugfix 1362777 - custom compilerset output parsing
	  regular expressions were not loaded

2005-12-12 14:34  mandrav

svn1488:  * Added "Cancel" button in find-in-files dialog.
	  * Fixed find-in-files bug when custom files search mask is empty.
	  * Fixed find-in-files to remember the last custom search path,
	  until the active project changes.

2005-12-12 14:19  mandrav

svn1487:  * Debugger now doesn't center the active line
	  on-screen, when debugging.
	  * Fixed debugger options not being correctly set.
	  * Removed warning messages from debugger's watches tree.

2005-12-12 14:08  mandrav

svn1486:  * Added "Edit->Goto matching brace" (Ctrl-Shift-B)
	  functionality.
	  * Changed EditorBase::GotoLine(int line, bool
	  centerOnScreen=true) to select if the line should be centered
	  on-screen.

2005-12-12 12:55  mandrav

svn1485:  * Fixed generated object extension, when build target
	  uses a different compiler than the project (fix by Lieven).

2005-12-10 18:33  mandrav

svn1484:  * Added "exit" 16x16 menu icon.

2005-12-10 18:29  mandrav

svn1483:  * Added some more 16x16 icons.
	  * Added icons in some menu entries.

2005-12-10 18:18  mandrav

svn1482:  * Applied FreeBSD compatibility patch by Sean Bryant.

2005-12-09 20:51  thomasdenk

svn1481:  Bug 1377072

2005-12-09 18:04  mandrav

svn1480:  * Fixed a build bug under non-windows platforms.

2005-12-09 14:58  mandrav

svn1479:  * Fixed a few unicode errors in sdk/macrosmanager.cpp.

2005-12-09 14:55  mandrav

svn1478:  * Removed wrongly added src/src/associations.* from
	  autotools builds.

2005-12-09 12:11  mandrav

svn1477:  * Implemented "Disassembly" command for CDB debugger.

2005-12-09 10:01  mandrav

svn1476:  * Renamed "GDB Debugger" plugin to "Debugger".
	  * Added "Settings->Debugger" menu item.

2005-12-09 09:52  mandrav

svn1475:  * Fixed debugger "Run to cursor" not working some
	  times.
	  * Set GDB debugger's disassembly to print demangled funtion
	  names.
	  * Set default debugger name for MSCV compiler.

2005-12-08 23:05  thomasdenk

svn1474:  replaced _T("...") with DEFAULT_BATCH_BUILD_ARGS

2005-12-08 11:49  mandrav

svn1473:  * Fixed another potential-crash bug.

2005-12-08 11:38  mandrav

svn1472:  * Fixed crash when cancelling opening a file C::B
	  doesn't know how to handle (bug #1376155).

2005-12-08 09:39  mandrav

svn1471:  * Fixed "Compile file" command to work again (would
	  fail before the project was built at least once).

2005-12-07 14:34  mandrav

svn1470:  * Fixed bug in code-completion (parsing non-source
	  files - closes bug #1350355).

2005-12-07 14:08  byo

svn1469:  Another missing files :|

2005-12-07 14:07  mandrav

svn1468:  * Removed cmd.exe from being used in compilation
	  commands automatically.

2005-12-07 13:46  mandrav

svn1467:  * Fixed setting last activated target when opening a
	  project.

2005-12-07 13:25  thomasdenk

svn1466:  Revert 1461 (not necessary)

2005-12-07 13:14  byo

svn1465:  Limited memory usage for resources without opened
	  editors
	  Fixed some bugs

2005-12-07 13:12  mandrav

svn1464:  * Added src/src/associations.* in autotools build
	  system.

2005-12-07 12:46  mandrav

svn1463:  * Fixed pre-build and post-build steps generation.

2005-12-07 12:00  byo

svn1462:  * Added support for XRC files (mime handler allow
	  opening them inside wxSmith)
	  * Replaced char* -> wxString conversions with _U() macro

2005-12-07 11:32  thomasdenk

svn1461:  Removed -lwxmsw and -Lsdk/tinyxml from the wxScintilla
	  target to avoid "The following character string is too long"
	  error.

2005-12-07 09:59  mandrav

svn1460:  * Updated project to include the new src/associations.*
	  files.

2005-12-07 09:55  mandrav

svn1459:  * Fixed a null pointer dereference in plugin manager.
	  * Changed PluginWizard generated project's compiler options.
	  * Added "Set now" button for associations in Environment settings
	  dialog.
	  * Added "Batch builds" page in Environment settings dialog to
	  allow editing the extra command-line arguments used for batch
	  builds through shell extensions. This way the user could define a
	  personality, for example.
	  * Added "--batch-build-notify" command-line option. Displays a
	  message box with the exit code when the build is over.

2005-12-07 09:48  byo

svn1458:  Fixed some seg faults

2005-12-07 09:11  byo

svn1457:  Added missing files

2005-12-07 09:07  byo

svn1456:  Patched corrupted resources and code

2005-12-07 02:00  byo

svn1455:  Moved wxSmithMime to separate files

2005-12-06 17:16  mandrav

svn1454:  * Fixed a unicode error in app.cpp
	  * Included the PCH in projectfile.cpp

2005-12-06 14:07  thomasdenk

svn1453:  Fixed two compiler warnings (directcommands and
	  uservarmanager).
	  Added "Build" and "Rebuild (clean)" Explorer context menu entries
	  for project files and workspaces.

2005-12-06 11:56  mandrav

svn1452:  * When creating a new project from template, add the
	  files to the project as relative filenames (last part of bug
	  #1370744).

2005-12-06 09:32  mandrav

svn1451:  * Added "Export target to new project" functionality
	  (in project properties dialog).
	  * Documented IBaseLoader and ProjectLoader SDK classes.

2005-12-05 15:30  thomasdenk

svn1450:  Few additions for use when builing releases

2005-12-05 13:42  mandrav

svn1449:  * Fixed unicode error in sdk/cbplugin.h.

2005-12-05 13:09  mandrav

svn1448:  * Plugins that are not allowed to load, are not loaded
	  at all now (they used to, but just remained disabled). Speeds
	  start-up time now for special personalities.
	  * Added plugin function to free a plugin.
	  * Added plugin function to acquire the name of a plugin without
	  loading it.
	  * Changed the plugin function to acquire its SDK version. Added
	  "release" number in version.
	  * Current plugin SDK is at version 1.6.1.
	  * All the above plugin-related updates require no changes at all
	  to existing plugins (besides a rebuild).

2005-12-04 15:51  thomasdenk

svn1446:  Notify plugins on File-->New

2005-12-04 15:31  mandrav

svn1445:  * Fixed checks for file associations (windows only).

2005-12-04 15:08  mandrav

svn1444:  * Added info log when a pending breakpoint is resolved.
	  * Fixed GDB backtrace.

2005-12-04 12:39  mandrav

svn1443:  * Fixed project being incorrectly reported as modified
	  (bug #1370746).
	  * Moved "active target" information to the layout file (instead
	  to the project file).

2005-12-04 12:03  mandrav

svn1442:  * Building/Rebuilding/Cleaning a workspace now uses the
	  same build target for all projects (assuming they match).

2005-12-04 11:30  mandrav

svn1441:  * Added cbProject::DuplicateBuildTarget().
	  * Re-designed project properties dialog.
	  * Added button to duplicate a build target in project properties.
	  * Removed "Dependencies dir" setting from project properties (not
	  using "make" anymore).
	  * Removed "Custom deps" page from file properties (not using
	  "make" anymore).
	  * Fixed a major memory leak in cbProject.

2005-12-04 02:55  cyberkoa

svn1440:  Unicode fix

2005-12-04 00:36  byo

svn1439:  Added mime handler (currently supporting only wxs
	  files)

2005-12-03 23:23  mandrav

svn1438:  * When creating a new project/target, make the
	  suggested output filename relative to the project file (bug
	  #1370744).

2005-12-03 23:10  mandrav

svn1437:  * Moved notification message for project loading to a
	  later stage because code-completion kicked in too early and the
	  perceived project loading time was long. It now seems to load
	  almost immediately.
	  * Project now supports files from different drives (for windows).

2005-12-03 23:04  byo

svn1436:  Fixed bug with invalid code generation when variable
	  name contains special phrases inside (like "pos" or "size")

2005-12-03 22:20  mandrav

svn1435:  * Added missing files sdk/projectfile.cpp|h

2005-12-03 21:21  mandrav

svn1434:  * Updated sdk.doxy, the file to generate SDK
	  documentation using doxygen.

2005-12-03 20:54  mandrav

svn1433:  * Written documentation for cbProject,
	  ProjectBuildTarget and ProjectFile.
	  * Moved declaration and definition of ProjectFile and pfDetails
	  in sdk/projectfile.cpp|h.
	  * Added ProjectBuildTarget::GetFilesList() to get a list of files
	  belonging to the target for easy iteration.
	  * Further improved project loading times by ~75%. Please note
	  cbProject::AddFile()'s documentation...

2005-12-03 11:58  thomasdenk

svn1432:  Bugfix for list entries not showing spaces.

2005-12-03 11:17  mandrav

svn1431:  * Moved main frame showing at the very last stage of
	  the app loading process. This speeds app startup because there's
	  no GUI visible until everything's loaded.
	  * Removed --hidden command-line option. It's implicitly used for
	  batch builds, because the GUI is not responsive anyway.

2005-12-03 09:44  mandrav

svn1430:  * ~35% speed-up of project loading.

2005-12-02 23:25  mandrav

svn1429:  * Fixed wrong MinGW macro for static library creation
	  (bad ranlib parameter).

2005-12-02 23:12  mandrav

svn1428:  * Fixed _UU macro used in unicode conversion.
	  * Fixed build error in ConfigManager in unicode builds.
	  * Command shell is used for compilation only, not for target
	  execution.

2005-12-02 22:57  mandrav

svn1427:  * Re-enabled custom vars in target options (filename,
	  working dir, etc) which was broken with the latest changes in the
	  compiler.
	  * Fixed single file compilation to work when filename contains
	  spaces.

2005-12-02 21:19  mandrav

svn1426:  * Implemented missing ListBoxImpl::SetList() in
	  wxScintilla (also submitted it as a patch to wxCode).

2005-12-02 14:44  mandrav

svn1425:  * Fixed bug (typo) in compiler plugin, which broke the
	  build under linux.

2005-12-02 13:21  mandrav

svn1424:  * Updated compiler's IsRunning() method to return the
	  correct value.

2005-12-02 13:19  mandrav

svn1423:  * Fixed a memory leak in compiler plugin.
	  * Updated debugger to work with the revamped compiler interface.

2005-12-02 12:54  mandrav

svn1422:  * Added --target command-line option for batch builds.
	  * Revamped compiler plugin to use a commands queue. Simplified a
	  lot now.
	  * Added a few missing #includes.
	  * Plugins SDK version pumped to 1.6.

2005-12-01 23:00  thomasdenk

svn1421:  Various optimizations without changing the actual
	  algorithm.
	  In optimized build, parsing is now twice as fast.

2005-12-01 22:57  thomasdenk

svn1420:  Better block allocator (by order of magnitude faster,
	  and includes performance counters and a leak detector).
	  Made more classes block allocated where it makes sense.
	  Changed heap-allocated Mutexes to stack-allocated
	  CriticalSections.

2005-11-30 14:59  mandrav

svn1419:  * Fix in PersonalityManager to return the default
	  personality if no other specified.

2005-11-30 14:39  mandrav

svn1418:  * Added command line options for batch builds
	  (--hidden, --rebuild and --build). They operate on the
	  project/workspace command-line argument. Still have to save the
	  build log to a file...
	  * Fixed personalities to work again. For each personality, a
	  different .conf file is used (instead of default.conf).

2005-11-30 06:00  cyberkoa

svn1417:  Update style with MASK for different platform

2005-11-30 05:55  cyberkoa

svn1416:  Update the styles , with MASK for different platform

2005-11-30 05:49  cyberkoa

svn1415:  Update the styles , with MASK for different platform

2005-11-29 21:14  mandrav

svn1414:  * AnnoyingDialog now is centered after layout.
	  * More than one plugin can now be contained in a given library
	  (DLL). No changes are needed in existing code, except the obvious
	  rebuild. Plugin SDK version pumped to 1.5.

2005-11-29 13:31  mandrav

svn1413:  * Fixed minor update issue with "New project" dialog.
	  * Changed a log message in cbThreadPool.
	  * Fixed issues with temporary breakpoints not being deleted in
	  debugger.

2005-11-29 11:06  mandrav

svn1412:  * Fixed --enable-contrib autotools option.

2005-11-29 11:03  mandrav

svn1411:  * Added
	  src/plugins/compilergcc/cmdlinegenerator.(cpp|h) in the
	  autotools build system.

2005-11-29 09:57  mandrav

svn1410:  * Updated wxScintilla to version 1.66 (patched for
	  C::B).
	  * Fixed bugs with searching/replacing using regular expressions.

2005-11-29 08:58  mandrav

svn1409:  * Fix for last commit (missing parenthesis).

2005-11-29 08:30  mandrav

svn1408:  * Shortened the length of the build target combo box on
	  the compiler toolbar.
	  * Display error debugging target in the debugger's log, when
	  using the CBD driver.

2005-11-28 17:13  mandrav

svn1407:  * PCH generation directory always first in search list
	  (even for #include "" form).

2005-11-28 14:34  mandrav

svn1406:  * Fixed minor UI bug in compiler options (bug
	  #1368208).

2005-11-28 13:06  mandrav

svn1405:  * Disabled makefile generation because it's out-of-sync
	  with the current build capabilities.
	  * Added CmdLineGenerator for generating build commands.
	  * Made custom makefile invocation commands customizable.
	  * "GNU make" build method is now *only* used in projects with
	  custom Makefile.
	  * Changed defaults for project files: PCH in object dir, "Include
	  target in ALL" off.
	  * Project file version pumped to 1.2.
	  * Removed "update" and "doc" targets from main project.
	  * Fixed unicode issu in configmanager.h.

2005-11-28 05:52  rickg22

svn1404:  Fixed an omission with the optimized makefile
	  generation

2005-11-28 05:43  rickg22

svn1403:  Optimized compile commands and makefile generation

2005-11-28 01:18  byo

svn1402:  Fixed bugs with identifiers predefined in wxWidgets.
	  Now can use integer values as ids (when using XRC, -1 is only
	  acceptable)

2005-11-28 00:25  byo

svn1401:  Removed invalid #endif in generated sources

2005-11-27 18:56  mandrav

svn1400:  Removed file/folder

2005-11-27 17:17  rickg22

svn1398:  Fixed bug #1326031 - C::B Crashes if you start
	  compiling a project and then close

2005-11-27 04:43  rickg22

svn1397:  New projects from templates are saved automatically
	  (along with their files) after created. Note: We need to do the
	  same with new projects created from wizards.

2005-11-26 21:20  cyberkoa

svn1396:  testing SVN commit

2005-11-26 21:19  mandrav

svn1395:  * Added src/sdk/editpairdlg.* and
	  src/plugins/debuggergdb/debuggerstate.* to the autotools build
	  system.

2005-11-26 21:17  mandrav

svn1394:  * Changed encoding of wxPdfDocument/src/pdfbarcode.cpp
	  because it couldn't be compiled in unicode.
	  * Removed "#pragma interface" from
	  wxPdfDocument/include/wx/pdfencrypt.h because it messed with
	  exported symbols.

2005-11-26 19:53  mandrav

svn1393:  * Editor configuration dialog now remembers the last
	  selected theme language.

2005-11-26 19:36  mandrav

svn1392:  * Added "Source code" page with info on checking out
	  C::B's source code using SVN.

2005-11-26 16:14  ceniza

svn1391:  * Updated wxPdfDocument to version 0.6
	  * Enabled the PDF exporter to use fill color thanks to the new
	  functions in wxPdfDocument
	  * Now PDF exporter is able to wrap lines, once again, thanks to
	  the new functions in wxPdfDocument

2005-11-26 15:10  ceniza

svn1390:  * Changed indentation style (nothing to worry about)
	  * Fixed bug of not reading indentation size (in spaces) correctly

2005-11-26 13:57  mandrav

svn1389:  * Adding "web" module (part 3).

2005-11-26 13:57  mandrav

svn1388:  * Adding "web" module (part 2).

2005-11-26 13:53  mandrav

svn1387:  * Adding "web" module (part 1).

2005-11-26 13:45  mandrav

svn1386:  * Added "docs" module.

2005-11-25 09:58  mandrav

svn1385:  * Added EditPairDlg, a generic dialog to edit a
	  key/value pair. Currently used for adding/editing custom vars in
	  compiler options.
	  * Allow custom variables to be empty.

2005-11-25 08:51  mandrav

svn1384:  * Fixed broken syntax highlighting.
	  * Fixed "Reset defaults" for color sets would reset colors for
	  all languages instead of the selected one.

2005-11-24 19:01  mandrav

svn1383:  * Fixed bug in new project saving code.

2005-11-24 13:30  mandrav

svn1382:  * Updated project file CodeBlocks.cbp. You can now
	  build C::B using it (no global user vars are used). Default
	  custom variables are set-up for unicode builds.
	  * Fixed every missing #include for non-PCH builds.
	  * Fixed some compiler warnings in various files.
	  * Converted all XML generation (project, layout and workspace
	  files) from using string streams to using TiXmlDocument directly.

2005-11-24 05:36  cyberkoa

svn1381:  Fix bugs on lines 221,222,223 that does not compile in
	  Unicode where Element->Attribute(xxx) should be encoded in
	  wxConvUTF8 so that can be assigned to wxString variable

2005-11-24 03:07  rickg22

svn1380:  Optimized a bit the stability tweak.

2005-11-23 21:52  byo_spoon

svn1379:  * Changes style system to support style flags
	  * Some minor bug fixes

2005-11-23 14:41  mandrav

svn1378:  * Created DebuggerState class for the debugger plugin.
	  This will eventually be managing everything shared between the
	  debugger and C::B. Currently, breakpoints are handled by this
	  class.

2005-11-22 22:06  thomas-denk

svn1377:  Untitled2 bug.

2005-11-22 15:09  byo_spoon

svn1376:  Extended style class

2005-11-22 12:39  mandrav

svn1375:  * Fixed debugger watches tree to be updated on-the-fly.

2005-11-22 03:49  rickg22

svn1374:  Added NDEBUG flag to the astyle plugin so it won't be
	  in the "debug" version.

2005-11-21 23:10  byo_spoon

svn1373:  Implemented application-managment system

2005-11-21 22:02  mandrav

svn1372:  * Minor UI change in new project dialog.

2005-11-21 21:25  mandrav

svn1371:  * Re-arranged the debugger menu a bit.
	  * Added message to close active editors before entering keyboard
	  configuration.

2005-11-21 20:59  thomas-denk

svn1370:  Illegal configuration key

2005-11-21 20:27  thomas-denk

svn1369:  Two illegal config keys

2005-11-21 14:43  mandrav

svn1368:  * Implemented watching local variables and function
	  arguments for CDB debugger driver.

2005-11-21 13:55  mandrav

svn1367:  * Fixed "debug" MSVC compiler option.
	  * MessageManager's DebugLogWarning() and DebugLogError() are now
	  printed in blue and read, respectively.
	  * Fixed debugger watches to work correctly for both drivers.

2005-11-21 11:04  mandrav

svn1366:  * Removed (hidden actually) "Watches" tree root item.

2005-11-21 10:58  mandrav

svn1365:  * Fixed error with debugger tree's "Load watch file".

2005-11-21 10:28  mandrav

svn1364:  * Changed wxRmDir to wxRmdir in sc_io.cpp as it is
	  platform-neutral this way.

2005-11-20 22:16  byo_spoon

svn1363:  * Refreshing event property grid to keep it up to date
	  * Addeed some debug info when automatically correcting resources

2005-11-20 21:47  mandrav

svn1362:  * Fixed issues with recent projects/files history.

2005-11-20 17:51  mandrav

svn1361:  * Added missing include for sdk in autotools build.
	  * Added function to save debugger watches as a watch file for
	  later reloading (patch by Morten).

2005-11-20 17:18  mandrav

svn1360:  * Added AnnoyingDialog in autotools build system.

2005-11-20 08:49  mandrav

svn1359:  * Fixed some unicode issues in AnnoyingDialog.
	  * Fixed toolbar not setting to 32x32 pixels.

2005-11-20 02:49  ceniza

svn1358:  * Fixed bug introduced with the new ConfigManager
	  * Enabled the creation of a help file called default

2005-11-20 00:20  byo_spoon

svn1357:  * Fixed bug with overlapping styles
	  * Minor changes of colour and font property
	  * Set wxDEFAULT_FRAME_STYLE as default style when creating frame

2005-11-20 00:00  mandrav

svn1356:  * Added genric dialog with checkbox for the user to
	  select if it should be displayed again.
	  "Settings->Environment->Dialogs" can be used to re-enable
	  disabled dialogs. Called AnnoyingDialog :)
	  * Used AnnoyingDialog in compiler plugin.
	  * Moved settings for network proxy in
	  "Settings->Environment->Network".

2005-11-19 23:20  byo_spoon

svn1355:  Fixed bug with invalid auto-generate code when
	  discarding editor changes

2005-11-19 22:26  mandrav

svn1354:  * Fixed macro for creating static library with
	  DigitalMars (by hd).
	  * Added -q option to Borland's command lines to suppress the
	  banner (by hd).

2005-11-19 22:21  mandrav

svn1353:  * Added "Load watch script" for debuggers (patch by
	  Morten).

2005-11-19 21:48  mandrav

svn1352:  * Fixed search in class browser.

2005-11-19 20:23  mandrav

svn1351:  * Improved class browser.
	  * Added null-pointer checks in debugger plugin.

2005-11-18 20:51  mandrav

svn1350:  * Implemented watches support for CDB debugger.
	  * Implemented tooltip evaluation support for CDB debugger.

2005-11-18 14:45  mandrav

svn1349:  * Implemented backtrace for CDB debugger driver.
	  * In previous commit, added hardcoded support to select debugger
	  based on compiler. Basically CDB is selected for compiler index 1
	  (MSVCTK) and GDB for everything else. Until a configuration
	  dialog is made, it will suffice.

2005-11-18 14:16  mandrav

svn1348:  * Added support for temporary breakpoints in CDB
	  debugger driver (for run-to-cursor).

2005-11-18 13:57  byo_spoon

svn1347:  Fixed project settings in NewBuild

2005-11-18 13:22  mandrav

svn1346:  * Fixed exception raised in ToolsManager because of
	  invalid path name used in configuration.

2005-11-18 13:15  thomas-denk

svn1345:  Explicit "Find in files" menu item

2005-11-18 13:07  mandrav

svn1344:  * Fixed a bug in filenames conversion for debugger.

2005-11-18 11:29  mandrav

svn1343:  * Update class browser only once when an editor gets
	  activated.

2005-11-18 10:29  mandrav

svn1342:  * Added two new SDK events: cbEVT_EDITOR_ACTIVATED and
	  cbEVT_EDITOR_DEACTIVATED.
	  * Added class-browser option to display either all project
	  symbols or only current editor's symbols.
	  * Fixed saving/loading order of recent files/projects history.

2005-11-17 21:58  mandrav

svn1341:  * Added help_plugin, source_exporter and wxSmith in
	  autotools build system.

2005-11-17 19:04  mandrav

svn1340:  * Merged branch "yt" to HEAD.
	  * Added ContribPlugins.workspace to build all contrib plugins.

2005-11-16 23:32  byo_spoon

svn1333:  Added Tooltips for buttons inside window editor

2005-11-15 05:15  cyberkoa

svn1308:  Modify so that the styles in wxSmith /defwidgets/wxs*
	  according to styles in WX_DIR/src/xrc/xh_* - update on
	  wxsnotebook.cpp

2005-11-13 01:49  cyberkoa

svn1288:  Modify so that the styles in wxSmith /defwidgets/wxs*
	  according to styles in WX_DIR/src/xrc/xh_*

2005-11-12 15:35  byo_spoon

svn1285:  Changed code generation method - now it uses current
	  editor settings (tabs, eol mode) and automatically indent codee
	  relatively to code header

2005-11-12 13:36  byo_spoon

svn1281:  Small bug fix (closing preview when closing editor)

2005-11-12 12:26  byo_spoon

svn1275:  * Window preview is no longer modal
	  * Preview can be closed by pressing ESC key
	  * Include section in newly created files uses precompiled headers

2005-11-11 22:19  byo_spoon

svn1263:  Window editor improovements:
	  * Added ability to use 16x16 icons in toolset
	  * Palette is refreshed when changing icon size
	  * Unblocked standard options in quick configure panel

2005-11-11 03:47  ceniza

svn1261:  * Fixed bug #1350933: Disappearing help plugin entries
	  -> Using slashes or backslashes is not allowed to name help file
	  entries anymore

2005-11-11 00:18  byo_spoon

svn1255:  *** empty log message ***

2005-11-10 12:31  byo_spoon

svn1242:  * Removed palette at the bottom
	  * Added Quick Properties

2005-11-09 18:00  ceniza

svn1230:  Changed compilation and linking flags for the Linux
	  project for wxWidgets 2.6

2005-11-09 16:16  ceniza

svn1227:  * Added project file for Linux using wxWidgets 2.6*
	  Modified sources to compile under Linux

2005-11-09 16:14  ceniza

svn1224:  Added project file for Linux using wxWidgets 2.6

2005-11-09 13:40  ceniza

svn1221:  The filename for the exported file is now suggested
	  from the source filename

2005-11-08 16:25  ceniza

svn1214:  Removed call to SetAutoPageBreak

2005-11-08 14:40  byo_spoon

svn1212:  Fixed some damaged resources

2005-11-07 23:41  byo_spoon

svn1205:  * Moved widget list to bottom of editor (it was to
	  close to files notebok)
	  * Added code supporting new buttons inside editor
	  * Changed pch generation method

2005-11-07 12:37  byo_spoon

svn1203:  * Added some missing includes when compiling without
	  pch
	  * Updated project file for Linux

2005-11-07 02:41  ceniza

svn1200:  Updated plugin information

2005-11-07 02:11  ceniza

svn1188:  Added PDF exporter

2005-11-07 01:52  ceniza

svn1185:  Added PDF exporter

2005-11-06 22:56  byo_spoon

svn1184:  Small bug with code-generation fixed

2005-11-06 06:43  cyberkoa

svn1150:  Redraw 16x16 icon. Redesign wxDatePickerCtrl icon

2005-11-04 16:19  byo_spoon

svn1133:  * Started working on new palette style
	  * Bug fixes

2005-11-04 10:41  byo_spoon

svn1123:  * Changed BaseParams to BaseProperties
	  * Moved wxsWidgetManager and wxsBaseProperties to separate files
	  * Set classes to be exported (symbol names will be used inside
	  .RPT file)
	  * Added 16x16 icons into palette

2005-11-03 15:07  mandrav

svn1120:  * Changed global user vars scope operator from :: to #
	  (messed up when used with wxFileName).
	  * Fixed bug of disappearing compiler copies.

2005-11-03 12:00  tiwag

svn1119:  libray path for standard wxWidgets installation
	  corrected

2005-11-02 17:01  mandrav

svn1118:  * Added editpathdlg.cpp/h in codeblocks-newbuild.cbp

2005-11-02 16:52  mandrav

svn1117:  * Fixed include path when PCH is generated in the
	  object output dir.
	  * Added missing #includes in editpathdlg.cpp and
	  uservarmanager.cpp

2005-11-02 14:56  ceniza

svn1116:  Added Export submenu

2005-11-02 14:51  mandrav

svn1115:  * Fixed sorting order in class-browser.
	  * Added Ogre material lexer (contributed by nfz).

2005-11-02 14:49  mandrav

svn1114:  * Updated autotools build system.

2005-11-02 14:12  mandrav

svn1113:  * Allow editing all three types of keywords for each
	  lexer.
	  * Allow editing filemasks for each lexer.

2005-11-02 13:01  thomas-denk

svn1112:  Project to allow test-driving new global vars feature.
	  Original project left intact lest the RC2 users can't compile.

2005-11-01 16:22  mandrav

svn1111:  * Updated autotools build system and fixed a couple of
	  unicode compatibility issues.

2005-11-01 14:54  mandrav

svn1110:  * Added new UserVarManager (thanks to Thomas)
	  * Fixed a couple of bugs in EditPathDlg

2005-11-01 11:46  zlika

svn1109:  Fix resource zip name problem with the unix cbp

2005-11-01 09:54  mandrav

svn1108:  * Fixed bug when creating a new file which already
	  exists on disk (bug #1344082)

2005-11-01 05:06  rickg22

svn1107:  Fixed bug #1342507 - CB keeps asking about a file that
	  no longer exists

2005-11-01 04:33  rickg22

svn1106:  Fixed crash on "New File" when there's "untitled1" on
	  the harddisk (bug #1344082)

2005-10-31 09:44  thomas-denk

svn1105:  bug #1342953 (right-click loses selection) better
	  implementation, deselects on click outside selection now to
	  re-enable "Find declaration of..."

2005-10-31 07:53  thomas-denk

svn1104:  Bug #1342953

2005-10-30 13:22  zlika

svn1103:  Added column sorting and colors in call graph

2005-10-28 08:33  mandrav

svn1101:  * Fixed crash when using "--personality" command line
	  option

2005-10-27 13:48  mandrav

svn1099:  * Merged latest bug-fix about PCH from
	  CODEBLOCKS_1_0RC2_FINAL

2005-10-27 07:27  zlika

svn1097:  Fix end of lines problem

2005-10-27 07:19  thomas-denk

svn1096:  Fixed the wxUSleep / wxUsleep typo.

2005-10-26 19:35  byo_spoon

svn1095:  Added missing resource files

2005-10-26 15:28  byo_spoon

svn1094:  * Added icon into Widgets tab
	  * Changed icons to support transparency
	  * Added dynamically changing quick properties stuff inside
	  palette

2005-10-26 13:26  mandrav

svn1093:  * Merged latest changes from CODEBLOCKS_1_0RC2_FINAL

2005-10-24 20:27  mandrav

svn1087:  * Fixed wxWidgets templates

2005-10-24 20:18  mandrav

svn1086:  * Updated ChangeLog for version 1.0rc2

2005-10-24 13:30  byo_spoon

svn1085:  * Added InitDialog event handler
	  * Fixed some bugs related to event handlers

2005-10-24 12:09  byo_spoon

svn1082:  * Fixed dialog-initializing code generation (now using
	  Create() instead of constructor)
	  * Fixed bug with crashes after configuring wxSmith
	  * Addef Fit() and SetSizeHints() methods to code generated by
	  sizers

2005-10-24 08:03  mandrav

svn1081:  * Fixed a small bug in PluginWizard's generated code.
	  * Fixed selecting directories when adding paths in global
	  compiler options.

2005-10-23 21:53  ceniza

svn1068:  * ODT Exporter added. * The exporters now inherit from
	  BaseExporter.

2005-10-23 20:58  mandrav

svn1067:  * Fixed debugger plugin not working correctly with
	  unicode wx.

2005-10-23 19:03  mandrav

svn1063:  * Updated new splash screen

2005-10-22 14:06  byo_spoon

svn1062:  update.bat will put all resources also into output
	  directory

2005-10-22 13:24  ceniza

svn1061:  Ampersand now written as &amp; in the HTML Exporter

2005-10-22 13:21  ceniza

svn1060:  Fixed background color bug in the HTML Exporter

2005-10-22 10:33  byo_spoon

svn1057:  Changed options to generate precompiled headers
	  automatically

2005-10-22 09:46  mandrav

svn1056:  * Added explanation string in file options dialog,
	  regarding "Priority weight" setting.

2005-10-22 00:35  byo_spoon

svn1055:  Added support for precompiled headers

2005-10-21 15:20  mandrav

svn1054:  * Updated Makefile.am for "StartHere" page resources

2005-10-21 12:56  mandrav

svn1044:  * Added some eye-candy (courtesy by Thomas)

2005-10-21 11:26  mandrav

svn1041:  * Updated wxWidgets templates. Added support for
	  unicode builds and a precompiled header.

2005-10-21 09:58  mandrav

svn1040:  * Finally fixed honouring the "compile" and "link" unit
	  flags when loading a project
	  * PluginWizard now asks to create and save the new project
	  *after* the dialog has run

2005-10-21 07:44  mandrav

svn1036:  * Removed reference to stc.h in PCH files
	  * Fixed a typo in tips.txt
	  * Removed obsolete menu entry "View->Manager position"

2005-10-21 07:04  byo_spoon

svn1035:  Fixed bug when generating localized characters (ASCII >
	  0x80) in code

2005-10-21 02:15  ceniza

svn1034:  Added RTF Exporter

2005-10-21 02:13  ceniza

svn1033:  Changed a tab to spaces

2005-10-20 20:55  byo_spoon

svn1032:  Fixed some bugs (seg-faults)

2005-10-20 19:33  mandrav

svn1031:  * Bug fix: when adding files in project, only mark as
	  "compile/link" the right kind of files (was broken from PCH
	  support)

2005-10-20 13:24  mandrav

svn1030:  * Fixed certain context menu entries not working in
	  project manager and class-browser.

2005-10-20 13:14  mandrav

svn1029:  * Fixed bug when opening a workspace by double-clicking
	  in explorer. Would open the workspace, close it and re-open it.
	  Pointed out by tiwag.

2005-10-20 09:58  mandrav

svn1028:  * Added PCH support in contrib plugins.

2005-10-20 08:57  mandrav

svn1027:  * Bug fix: when adding a new header file to the
	  project, by default don't make it compile/link.
	  * Bug fix: when setting a header file as compilable (e.g. PCH),
	  make sure it has been assigned a valid compiler variable.

2005-10-20 08:36  mandrav

svn1026:  * Fixed a possible bug in cbThreadPool::FreeThreads()
	  implementation (patch by Thomas)

2005-10-20 08:18  mandrav

svn1025:  * When using "Go to line", ensure destination line is
	  not currently fodled or, if it is, unfold it (feature #1329422).

2005-10-20 08:07  mandrav

svn1024:  * Added "Save project" menu entry under "File", to
	  avoid confusion for users (bug #1323196).

2005-10-20 07:55  mandrav

svn1023:  * Bug fix: correctly remember position and size when
	  closing the app while minimized (bug #1324114).

2005-10-20 07:38  mandrav

svn1022:  * When message pane is set to auto-hide and is hidden,
	  when unset in env. options show the message pane again.

2005-10-20 07:32  mandrav

svn1021:  * Fixed bug with refreshing custom vars in compiler
	  options, when changing compiler (bug #1327769)

2005-10-19 21:04  mandrav

svn1020:  * Fixed freeze bug on closing a project (related to
	  thread pool)

2005-10-19 20:53  mandrav

svn1019:  * Fixed wrong cast of void* to integer in parser.cpp
	  (broke build in 64-bit CPUs)

2005-10-19 20:39  mandrav

svn1018:  * Added precompiled header support in unix build system

2005-10-19 17:17  mandrav

svn1017:  * Fixed broken src/src/printdlg.h (from last PCH
	  commit)

2005-10-19 13:50  mandrav

svn1016:  * Added precompiled headers (PCH) support :)

2005-10-18 23:01  byo_spoon

svn1015:  Fixed bugs with colours/font of main resource's window

2005-10-17 19:08  ceniza

svn1014:  Now uses current font type and size, and also
	  background color.

2005-10-17 15:34  mispunt

svn1013:  fix unicode support in wxSafeShowMessage

2005-10-17 10:22  byo_spoon

svn1012:  Small bug fixes

2005-10-17 09:43  mandrav

svn1011:  * Added a mutex lock in Parser::Clear() (would lock-up
	  if more than one projects were open).
	  * Added exception handling in more places, regarding plugins.
	  * Added new contrib plugin ("source_exporter") to export open
	  file to HTML (authored and maintained by Ceniza).

2005-10-16 12:24  zlika

svn1010:  Increased robustness of the parser

2005-10-16 08:23  mandrav

svn1009:  * Added cbEditor::GetColorSet().

2005-10-15 23:35  byo_spoon

svn1008:  Fixed problems with static line widget and some small
	  bugs

2005-10-14 19:10  byo_spoon

svn1007:  Fixed some bugs in dragging system, added some features

2005-10-14 09:41  mandrav

svn1006:  * Removed a superfluous mutex locking in parser (may
	  have caused a deadlock on shutdown).
	  * Implemented search in arbitrary paths/filemasks for
	  find-in-files.
	  * Enabled "Search->Find" when no project is open, to allow
	  searching in files.

2005-10-14 08:05  mandrav

svn1005:  * Fixed ugly bug when auto-wrap for search was enabled
	  and the found text was deleted before wrapping around.
	  * Implemented quick and ugly hack for certain compilers static
	  lib creation (bcc, dmc) that need a + or - sign in front of every
	  object in the link command. New compiler macros added for this:
	  $-link_objects, $+link_objects, $-+link_objects and
	  $+-link_objects (adding the respective symbols between $ and
	  link_objects).

2005-10-14 03:14  rickg22

svn1004:  Fixed freeze-on-startup (99% CPU) bug. Added more debug
	  messages for the parser.

2005-10-13 22:38  byo_spoon

svn1003:  Fixed bugs when adding new resources

2005-10-13 14:18  byo_spoon

svn1002:  Improoved visual interface

2005-10-12 23:06  byo_spoon

svn0997:  Added Dragging assistance
	  Added plugin configuration dialog

2005-10-12 16:24  byo_spoon

svn0996:  Added settings dialog
	  Linux bug fixes

2005-10-11 22:49  byo_spoon

svn0995:  Fixed linux post-build script (icons are now copied
	  properly)

2005-10-11 21:55  byo_spoon

svn0994:  Fixed some linunx-compatibility problems

2005-10-11 20:38  byo_spoon

svn0993:  Fixed some bugs in dragging routines

2005-10-11 08:27  byo_spoon

svn0992:  Improoved dragging routines

2005-10-10 17:28  byo_spoon

svn0991:  Improved preview picture fetching system (removed many
	  visual artifacts)

2005-10-10 12:14  byo_spoon

svn0990:  Fixed bugs in drag window

2005-10-10 09:56  mandrav

svn0989:  * Re-uploaded the correct glfw.png template icon

2005-10-10 09:55  mandrav

svn0988:  * Removed broken glfw.png template icon

2005-10-09 21:59  mandrav

svn0987:  * Added GLFW project template (by Thomas Denk).

2005-10-09 15:23  mandrav

svn0986:  * Updated setup files

2005-10-09 14:29  mandrav

svn0985:  * Fixed build log's background color to respect system
	  settings (partly, patch #1318460 by Paul Mandalka)

2005-10-09 09:29  mandrav

svn0984:  * EditorBase::Saved() is now actually called.
	  * PluginManager now logs an error in the debug log if it can't
	  load a plugin, instead of displaying a message.

2005-10-07 13:32  mandrav

svn0983:  * Added editor configuration option: auto-wrap search
	  when EOF reached (patch by tiwag).
	  * Display the active editor's full filename in the status bar
	  (patch by tiwag).
	  * Correctly update active editor's column/line when switching
	  editor tabs (patch by tiwag).

2005-10-07 13:03  mandrav

svn0982:  * Fixed wrong tooltip in code-completion plugin's cache
	  settings

2005-10-07 12:56  mandrav

svn0981:  * Fixed order of projects being added as source dirs
	  for the debugger (bug #1281125).
	  * Added debugger configuration option, whether to add the other
	  open projects (if any) as search dirs (bug #1281125).

2005-10-07 12:17  byo_spoon

svn0980:  Fixed some bugs
	  Started to improove widget-dragging routines

2005-10-07 11:34  mandrav

svn0979:  * Fixed UI glitch in find-in-files (the editor that
	  performs the search, that should be invisible)
	  * Display a progress bar while the find-in-files search is
	  performed because it can take some time (rfe #1252189).

2005-10-07 11:21  mandrav

svn0978:  * Added EditorBase::OnBeforeBuildContextMenu() and
	  EditorBase::OnAfterBuildContextMenu() which are called before and
	  after (respectively) context menu creation. Used in cbEditor to
	  move the caret to where the mouse cursor is, before creating the
	  context menu. This is because some menu entries scan the word
	  under the cursor and without this, it needed first a left click
	  on the word in interest and then a right click. Now it's done in
	  a simple right-click. This also implements RFE #1264036.

2005-10-07 10:41  mandrav

svn0977:  * Allow multiple files selection when adding link
	  libraries (rfe #1290628).
	  * Remember last directory when opening dialog for dir selection
	  (rfe #1294446 ).

2005-10-07 09:46  mandrav

svn0976:  * Fixed check for externally modified files not to
	  check files just created and are still in the SaveAs dialog (bug
	  #1303774)

2005-10-07 09:27  mandrav

svn0975:  * Fixed find and replace dialogs to keep extra spaces
	  in saved history (bug #1305208)

2005-10-07 09:19  mandrav

svn0974:  * Added extra parameter "trimSpaces" in
	  GetArrayFromString()
	  * Removed cbPlugin::GetSDKVersionMajor() and
	  cbPlugin::GetSDKVersionMinor() (moved inside following macros).
	  * Added CB_DECLARE_PLUGIN() and CB_IMPLEMENT_PLUGIN(name) to ease
	  the plugin binding.
	  * Updated all plugins in CVS to use the above macros.
	  * Fixed code generated from PluginWizard to comply to the above
	  changes.
	  * Fixed warnings in code generated from PluginWizard for
	  cbProjectWizardPlugin plugins

2005-10-07 08:00  mispunt

svn0973:  fix unicode support.

2005-10-07 07:49  mandrav

svn0972:  * Updated plugin manager's messages when a plugin fails
	  to load.
	  * Fixed crash when adding to-do item at EOF and selecting to add
	  it in "New line below current line" (bug #1300981)

2005-10-06 10:33  mispunt

svn0971:  Fix combobox in toolbar for wx2.6.2

2005-10-05 17:54  mandrav

svn0970:  * Added Zlika in the AUTHORS file

2005-10-05 12:15  mandrav

svn0969:  * Fixed bug in code-completion inheritance support on
	  cache serialization

2005-10-04 19:59  mandrav

svn0968:  * Linux build system updates.

2005-10-04 19:32  mandrav

svn0967:  * Fixed "jump to declaration" and "open #include"
	  editor's context menu functions, to try to work for
	  out-of-project files too (based on the active project include
	  paths).

2005-10-04 19:02  mandrav

svn0966:  * Re-enabled inheritance calculation in code-completion
	  plugin (accidentally removed when we switched to thread pooling).

2005-10-04 09:17  mandrav

svn0965:  * Fixed bug in code-completion cache saving/loading, by
	  completely redesigning the process. No wonder why code-completion
	  didn't work for a lot of people...

2005-10-03 19:14  zlika

svn0964:  Minor cosmetic changes in the code

2005-10-03 13:38  mandrav

svn0963:  * Fixed bug in code-completion that would clear the
	  list of compiler include dirs on cache load. Maybe that's why
	  code-completion wouldn't work for many people.
	  * Added "Find declaration of [keyword]" in editor's context menu,
	  when right-clicking a token (provided by code-completion).
	  * Added "Open #include file: [file]" in editor's context menu,
	  when right-clicking an #include line (provided by
	  code-completion).

2005-10-03 12:02  mandrav

svn0961:  * Fixed pluginwizard build error under non-windows
	  platforms

2005-10-02 16:48  ceniza

svn0960:  Fixed bug 1250786: AStyle duplicates last line.

2005-10-02 08:59  mandrav

svn0959:  * Moved devel/share/codeblocks/tips.txt to src/tips.txt
	  again

2005-10-02 08:50  mandrav

svn0958:  * Updated setup files

2005-10-02 08:48  mandrav

svn0957:  * Fixed error with MSVC Toolkit not linking resources
	  into compiled DLL.

2005-10-01 23:49  byo_spoon

svn0956:  Small fixup

2005-10-01 23:27  byo_spoon

svn0955:  Added paste option
	  Added dragging support inside resource tree
	  Added macros for singletons and common functions

2005-10-01 21:20  mandrav

svn0954:  * Moved src/tips.txt to devel/share/codeblocks/tips.txt

2005-10-01 20:58  mandrav

svn0953:  * Updated update scripts

2005-10-01 20:52  mandrav

svn0952:  * Moved src/tips.txt to devel/share/codeblocks/tips.txt
	  * Updated update scripts accordingly

2005-10-01 20:45  mandrav

svn0951:  * Updated setup files and a couple of broken templates
	  * Updated AUTHORS file

2005-10-01 19:26  mandrav

svn0950:  * Last updates before 1.0rc2 is packaged

2005-10-01 08:46  mandrav

svn0949:  * Plugin SDK changed slightly by making some functions
	  'const' (SDK version is now 1.1).
	  * Existing base plugins updated for the above SDK change.
	  * Implemented "new-project-wizard" plugin handling. Just create
	  plugins now...
	  * ProjectManager::NewProject() now accepts a filename argument
	  (to avoid the SaveAs dialog when a project is created
	  programmatically).
	  * Changed Irrlicht template's category from "Multimedia" to
	  "2D/3D Graphics" to conform with the other templates conventions.

2005-10-01 08:10  byo_spoon

svn0948:  Added cut option to editor,
	  Fixed some bugs when copying/cutting multiple selection

2005-10-01 03:51  cyberkoa

svn0947:  Add in wxStaticLine, with icons

2005-09-30 22:27  byo_spoon

svn0946:  Added ability to handle multiple widgets inside one
	  wxDataObject
	  Added Copy support inside editor
	  Some minor changes

2005-09-30 21:25  zlika

svn0945:  Added other languages recognized

2005-09-29 22:05  byo_spoon

svn0944:  Added wxDataObject derived class supporting wxsWidget

2005-09-29 18:18  zlika

svn0943:  Problem with extra empty lines in the source code fixed

2005-09-29 14:02  mandrav

svn0942:  * Added cbProjectWizardPlugin plugin interface for "new
	  project" wizard plugins
	  * Added three new SDK events: EVT_DOCK_WINDOW, EVT_UNDOCK_WINDOW
	  and EVT_SHOW_DOCK_WINDOW to allow for non-main-application
	  windows (e.g. plugin windows) to be integrated with the docking
	  system (main app has just stubs for now; not enough time to test
	  before RC2)

2005-09-28 13:56  mandrav

svn0941:  * Renamed debugger's "Disassembly window" to "CPU
	  window"
	  * Debugger's CPU window now displays CPU registers
	  * Debugger's CPU window disassembly follows source code debugging
	  (shows active address). CPU registers are not automatically
	  updated yet,
	  * Renamed compiler's message panes to "Build log" and "Build
	  messages"

2005-09-28 13:00  byo_spoon

svn0940:  Added Undo/Redo support
	  Cleaned

2005-09-26 20:53  sprat

svn0939:  solved a problem with vc6 configurations that were not
	  matched correctly

2005-09-25 18:21  mandrav

svn0938:  * Added a forgotten Makefile.am file

2005-09-25 15:46  mandrav

svn0937:  * Added gprof profiler plugin in contrib section.
	  Authored and maintained by Zlika.

2005-09-25 14:50  mandrav

svn0936:  * Fixed some unicode issues in codestat plugin

2005-09-25 12:29  mandrav

svn0935:  * Added --enable-contrib switch to configure for
	  contrib plugins (currently only codestat)
	  * Added CodeStatistics contrib plugin to project. Authored and
	  maintained by Zlika.

2005-09-25 11:48  mandrav

svn0934:  * Fixed a Makefile.am which didn't respect the DESTDIR
	  variable (patch by prakashkc)

2005-09-25 09:51  mandrav

svn0933:  * Fixed MSVC workspace importers to correctly work
	  under non-windows platforms

2005-09-25 09:04  sprat

svn0932:  another attemp to solve the linux filenames problem in
	  msvc loaders

2005-09-23 22:26  byo_spoon

svn0931:  Integrated with current editor managment system
	  Resource structure is now shown only when editor is opened
	  Fixed some minor bugs

2005-09-23 16:59  sprat

svn0930:  fixed some problems with unix/win32 filenames in MSVC
	  workspace loaders

2005-09-23 12:47  mandrav

svn0929:  * Made browse-for-directory dialog resizable (patch by
	  charlie)
	  * When debugging, automatically launch a backtrace if program
	  breaks on signal (like SIGSEGV)

2005-09-22 06:35  mandrav

svn0928:  * Fixed a couple of unicode issues in wxDockIt

2005-09-21 15:42  byo_spoon

svn0927:  Fixeed bugs when generating new variable names and
	  identfiers

2005-09-20 19:52  byo_spoon

svn0926:  Fixed some bugs with unocide support

2005-09-19 20:59  mandrav

svn0925:  * Added sdk/cbexception.h in the build system.

2005-09-19 16:34  mispunt

svn0924:  fix unicode support.

2005-09-19 11:38  mandrav

svn0923:  * Fixed broken CG lexer

2005-09-19 11:37  mandrav

svn0922:  * Fixed some unicode issues.
	  * Fixed tips.txt file not found under linux.

2005-09-19 09:28  mandrav

svn0921:  Added cbException class

2005-09-19 09:14  mandrav

svn0920:  * Added basic exception handling

2005-09-19 07:55  mandrav

svn0919:  * Fixed broken project files

2005-09-18 16:51  mandrav

svn0918:  * Fixed broken project files from last commit

2005-09-18 11:26  mandrav

svn0917:  * Changed root tag in all codeblocks XML files from
	  "Code::Blocks*" to "CodeBlocks*" to be XML compilant.

2005-09-18 11:04  mandrav

svn0916:  * Added more validity checks in some code that *could*
	  crash codeblocks under linux

2005-09-17 22:55  byo_spoon

svn0915:  Added wxNotebook and wxListbook

2005-09-17 14:56  byo_spoon

svn0914:  Updated project files

2005-09-17 14:54  byo_spoon

svn0913:  Generalized system of handling child widgets (preparing
	  for wxNotebook and wxListBook)
	  Added wxSplitterWindow

2005-09-16 21:28  byo_spoon

svn0912:  Added colours and font properties

2005-09-16 18:43  mandrav

svn0911:  * Display bug report dialog in case of a crash. Valid
	  only with wx2.6.

2005-09-16 11:09  mispunt

svn0910:  fix unicode support.

2005-09-15 21:40  byo_spoon

svn0909:  Added XRC import option

2005-09-11 19:05  mandrav

svn0908:  * Unicode fix.

2005-09-11 15:57  mandrav

svn0907:  * Fixed external dependencies checking for
	  commands-only targets (bug #1245128).

2005-09-11 15:19  mandrav

svn0906:  * Fixed "additional output files" target property to
	  save properly (bug #1245110).

2005-09-11 13:53  mandrav

svn0905:  * A couple of unicode fixes.

2005-09-11 11:48  mandrav

svn0904:  * Fixed Ogre and Irrlicht templates for linux

2005-09-11 09:26  mandrav

svn0903:  * Fixed a bug (silly typo) in thread pool where if the
	  number of CPUs present was unknown, no thread was created. Now,
	  at least one thread is created.

2005-09-11 08:39  mandrav

svn0902:  * Command-line switch --prefix now expects the
	  top-level install dir, e.g. /usr/local instead of
	  /usr/local/share/codeblocks.

2005-09-10 08:19  mandrav

svn0901:  * Fixed error in linking libraries that don't have the
	  'lib' prefix (bug #1286147).

2005-09-10 08:16  mandrav

svn0900:  * Fixed syntax highlighting recognizing files with
	  non-lowercase extensions (bug #1286115).

2005-09-09 13:55  mandrav

svn0899:  * Fixed wxDockit unicode compatibility under gtk
	  (hopefully).
	  * Link wxdockit as a convenience library so that it doesn't get
	  installed.

2005-09-09 12:21  mandrav

svn0898:  * Fixed broken EOLs in some files

2005-09-09 10:37  mandrav

svn0897:  * Re-added mime images as binary files

2005-09-09 10:36  mandrav

svn0896:  * Removing mime images because they were not added as
	  binary files

2005-09-09 03:17  rickg22

svn0895:  Set default options "Watch variables" and "Watch
	  locals" to 1

2005-09-08 22:31  mandrav

svn0894:  * Fixed --prefix command line option not taken into
	  account.
	  * Fixed font size in "start here" page for some platforms.
	  * Fixed zip resources creation in wrong directories.
	  * Added file associations (*.cbp, *.workspace) setup for
	  non-windows platforms (tested in linux/gnome).

2005-09-08 12:54  mandrav

svn0893:  * Added configuration option for displaying (or not)
	  code-completion cache loading/saving progress

2005-09-08 07:55  mandrav

svn0892:  * Made wxDockit unicode compatible

2005-09-07 19:03  ceniza

svn0891:  Fixed project files to create resource file.

2005-09-07 17:55  mandrav

svn0890:  * Added "Ensure consistent EOLs" editor configuration
	  option.

2005-09-07 16:21  mandrav

svn0889:  * Added xp-manifest plugin to the build system (valid
	  only under windows)

2005-09-07 11:51  mandrav

svn0888:  * Added missing build files for templates/
	  subdirectories

2005-09-07 11:13  mandrav

svn0887:  * Updated build system: now supports correctly 'make
	  clean|distclean|dist'.

2005-09-07 09:55  mandrav

svn0886:  * Fixed a typo in astyle plugin's K&R sample syntax
	  * Removed -lgmon from linker options for GCC compiler "Profile
	  code" option, when in non-windows platforms

2005-09-07 08:14  mandrav

svn0885:  * Updated build system files

2005-09-06 22:02  mandrav

svn0884:  * Fixing the GNU build system

2005-09-06 21:47  mandrav

svn0883:  * Fixing GNU build system

2005-09-06 19:03  mandrav

svn0882:  * Converted some build system files to unix format

2005-09-06 18:32  byo_spoon

svn0881:  Fixed bugs in drag window
	  Added ability to move multiple selected widgets

2005-09-06 14:46  byo_spoon

svn0880:  Fixed bugs with frag point positioning on GTK

2005-09-06 11:54  byo_spoon

svn0879:  Fixed bug causing crashes on GTK (related to
	  wxTreeCtrl)

2005-09-06 11:49  mandrav

svn0878:  * Added --with-wx-* flags for configure. Also
	  re-organized the build system a bit.

2005-09-06 07:18  mandrav

svn0877:  * Fixed an oversight in thread pool which could lead to
	  wrong behaviour and/or crashes

2005-09-05 21:30  byo_spoon

svn0876:  Added ability to use long strings in properties,
	  some minor changes and bugfixes

2005-09-05 17:21  mandrav

svn0875:  * Removed hard-coded path in bootstrap

2005-09-05 13:51  byo_spoon

svn0874:  Addedd new edit mode - with xrc file (dialog is loaded
	  from automatically generated xrc file, there's no generating
	  code)
	  Changes in configuration files - new resourcees are stored
	  insided .wxs files
	  wxSmith now uses wxsmith-generated dialog when adding new
	  resources

2005-09-05 07:43  mandrav

svn0873:  * Added missing Makefile.am for templates

2005-09-05 06:59  mandrav

svn0872:  * Added missing Makefile.am for console runner

2005-09-04 23:40  rickg22

svn0871:  Renamed "Crash protection" in environment options to
	  "Improved stability"

2005-09-04 23:33  rickg22

svn0870:  Fixed recent segfault in compiler plugin when
	  (ironically) "crash protection" was enabled
	  Updated debugger plugin to put the debug menu after "build"

2005-09-04 22:52  rickg22

svn0869:  Fixed issue with console runner under unicode

2005-09-04 21:11  mandrav

svn0868:  * Fixed hang-issue introduced in thread pool with last
	  commits

2005-09-04 20:30  mandrav

svn0867:  * Updated compiler plugin to correctly locate console
	  runner

2005-09-04 19:42  mandrav

svn0866:  * Updated autotools files (wrong files were added)

2005-09-04 19:27  mandrav

svn0865:  * Added autoconf/automake build system for non-windows
	  platforms
	  * Fixed bug with '--prefix' command-line option not taken into
	  account

2005-09-04 19:17  mandrav

svn0864:  * Re-organizing and cleaning source tree

2005-09-04 03:18  rickg22

svn0863:  Fixed crashes on early exit in the improved thread
	  model

2005-09-03 20:14  rickg22

svn0862:  Changed the thread pool to use joinable threads.

2005-09-03 10:30  mandrav

svn0861:  * Converted broken EOL in some files

2005-09-03 10:27  mandrav

svn0860:  * Made windows-only compilers be compilable only under
	  windows

2005-09-02 23:50  rickg22

svn0859:  Fixed compilation error in compilerMSVC.cpp

2005-09-02 21:07  mandrav

svn0858:  * Fixed bug with blank names in newly added files (to
	  project).

2005-09-02 20:22  mandrav

svn0857:  * Renamed "Compile" to "Build" in compiler menus

2005-09-02 18:32  byo_spoon

svn0856:  Fixed some bugs with wxsDragWindow on Linux

2005-09-02 16:38  mandrav

svn0855:  * Added /DEBUG linker option in "Produce debugging
	  symbols" MSVC compiler option.

2005-09-02 16:26  mandrav

svn0854:  * Made ConfigManager *not* expand environment variables
	  by default (bug #1275158)

2005-09-02 12:58  mandrav

svn0853:  * When the find/replace dialogs are shown, focus the
	  text box to be ready for typing (bug #1244500)
	  * Fixed global/selection auto scope setting for find dialog
	  * Added extra check in debugger to not start if the compiler is
	  working

2005-09-02 10:42  mandrav

svn0852:  * Fixed single-file (without project) compile command
	  to work for all compilers and not only for gcc (bug #1253868)

2005-09-02 09:34  mandrav

svn0851:  * Fixed bug where on build target deletion, it would
	  destroy the first build target's settings (bug #1265485)

2005-09-02 09:25  byo_spoon

svn0850:  Removed all dynamic_cast-s (causing seg faults when
	  -fno-rtti specified)

2005-09-02 08:58  mandrav

svn0849:  * Added check when a project is created/saved to use
	  the correct extension (bug #1280345)

2005-09-02 08:46  mandrav

svn0848:  * Improved time needed to add new files to project
	  * Re-phrased "Generating project tree" to "Loading project files"
	  when loading projects

2005-09-02 03:09  rickg22

svn0847:  Fixed unicode issue with projectloader.cpp

2005-09-01 20:00  mandrav

svn0846:  * Fixed a compiler warning

2005-09-01 19:58  mandrav

svn0845:  * Added progress dialogs for some (potentially) lengthy
	  operations: adding files to project recursively and
	  loading/saving of code-completion cache

2005-09-01 18:10  mandrav

svn0844:  * Removed env. variable substitution on .vcproj import.
	  C::B can handle it just fine as a variable.
	  * On project load, detect invalid compiler for project/targets
	  and ask the user which one to use (bug #1274811)

2005-09-01 17:08  mandrav

svn0843:  * When adding a new target in the project, use the same
	  compiler as the project's

2005-09-01 16:59  mandrav

svn0842:  * Fixed compiling in the root folder, e.g. C:\ (bug
	  #1276944)

2005-09-01 11:30  mandrav

svn0841:  * Better handling of modified files outside the IDE:
	  r/w->r/o, r/o->r/w, deletion (patch #1250107 by kjliew)

2005-09-01 10:09  mandrav

svn0840:  * Unified MacrosManager::ReplaceMacros() and
	  MacrosManager::ReplaceEnvVars()
	  * Added <TARGET_TITLE>_OUTPUT_FILE and <TARGET_TITLE>_OUTPUT_DIR
	  to known macros (TARGET_TITLE must be upper-case)

2005-09-01 09:13  mandrav

svn0839:  * Fixed "find" bug #1262909

2005-09-01 08:33  mandrav

svn0838:  * Updates in cbThreadPool
	  * No more using events for communication between code-completion
	  parser and its threads (yay!)
	  * GUI is now much more responsive when code-completion is parsing
	  :)

2005-09-01 08:14  sprat

svn0837:  Solved the double open problem when clicking source
	  files in the explorer

2005-08-31 05:24  byo_spoon

svn0836:  Added project files for unix

2005-08-30 20:55  mandrav

svn0835:  * Updated unix project files and makefiles

2005-08-30 20:24  mandrav

svn0834:  * Added cbThreadPool :D
	  * Converted code-completion to use thread-pooling instead of
	  launching oh-so-many threads.
	  * Removed code-completion setting for number of running threads.
	  It now depends on the number of CPUs.

2005-08-30 12:29  mandrav

svn0833:  * Updated sample code for Fortran/F77 lexer (patch
	  #1265454)

2005-08-30 12:27  mandrav

svn0832:  * Fixed a compiler warning in sdk/editormanager.cpp.
	  * When a file is saved, it is stripped of excessive spaces and
	  unifies newlines used. Wrapped it all in a single undo action.
	  * Added support for <Notice> nodes in templates, used for
	  messages displayed when a new project is created by a template.
	  Can be a child of <Template> or <Option>.
	  * Added template files for Irrlicht (improved template originally
	  created by Cmoibenlepro - patch #1275141).

2005-08-30 11:53  byo_spoon

svn0831:  Added event-handling code

2005-08-30 07:24  rickg22

svn0830:  Finally fixed crash-on-exit (confirmed by Tiwag) with
	  the Split Panel class.

2005-08-30 07:12  sprat

svn0829:  replaced offending wxArrayString empty() by IsEmpty()

2005-08-30 03:22  rickg22

svn0828:  Possibly fixed crash in wxSplitPanel class

2005-08-29 06:33  sprat

svn0827:  Quake3 msvc solution import bug solved. Now build
	  configurations are treated properly.

2005-08-28 15:16  ceniza

svn0826:  Modified project file to use wxscintilla.

2005-08-28 14:05  mandrav

svn0825:  * Bug fix with message-pane initial size

2005-08-28 08:32  mandrav

svn0824:  * Fixed a compiler warning

2005-08-27 20:27  rickg22

svn0823:  Fixed open files tree position crashing problems. Also
	  fixed issue with the open files tree view option disabling.

2005-08-27 16:46  byo_spoon

svn0822:  Fixed bug in wxsRadioBox widget
	  Fixed some other minor bugs

2005-08-27 15:19  rickg22

svn0821:  Fixed bug that appeared when merging the two branches

2005-08-27 11:17  byo_spoon

svn0820:  Integrated with new widgets, fixed bugs with invalid
	  position in drag window

2005-08-27 09:54  mandrav

svn0819:  * Fixed messages-auto-close bug, re-appearing after the
	  merge

2005-08-27 09:40  mandrav

svn0818:  * Added new project template for the SDCC compiler
	  (contributed by Stanimir Jordanov)

2005-08-27 09:30  mandrav

svn0817:  * Renamed "Create static library" to "Create import
	  library" in project properties dialog (bug #1274513)

2005-08-27 08:53  mandrav

svn0816:  * Fixed crash-on-shutdown when built with wx2.6
	  * Fixed desktop freezing when opening a project (merge error)
	  * Fixed a couple of compiler warnings

2005-08-27 03:50  rickg22

svn0815:  Fixed close-button error on docking windows after cvs
	  merge

2005-08-27 03:16  cyberkoa

svn0814:  Add in the following controls
	  
	  1. wxdatepickerctrl
	  2. wxradiobox
	  3. wxradiobutton
	  4. wxscrollbar
	  5. wxspinctrl
	  6. wxspinbutton
	  7. wxtreectrl

2005-08-26 20:56  byo_spoon

svn0813:  fixed incompatibilities after merging branches and
	  after using wxscintilla

2005-08-26 13:19  mandrav

svn0812:  * More project file updates

2005-08-26 12:47  mandrav

svn0811:  * Mass newlines conversion (broken during the merge)

2005-08-26 12:41  mandrav

svn0810:  * Bug-fix in wxDockit

2005-08-26 12:07  mandrav

svn0809:  * Project file updates

2005-08-26 11:15  mandrav

svn0808:  * Merged VERSION_1_0 branch to HEAD. HEAD is now in
	  sync and all devs are advised to use it.

2005-08-23 06:45  sprat

svn0799:  ProjectBuildTarget now have:
	  - a reference on the parent project
	  - (inter-)target dependencies

2005-08-20 08:04  mandrav

svn0765:  * Added lexer files for NVidia CG (by anonymous)
	  * Added lexer files for Fortran77 (by Martin Halle)

2005-08-15 14:20  byo_spoon

svn0753:  Added automated #includes generation,
	  Added wxTextCtrl and wxGauge

2005-08-13 23:16  byo_spoon

svn0752:  Added automated IDs generation, fixed some bugs

2005-08-13 20:36  byo_spoon

svn0751:  Added support for almost all sizers

2005-08-13 01:25  byo_spoon

svn0749:  Added spacer

2005-08-12 23:38  byo_spoon

svn0748:  Added code avoiding adding both sizers and widgets
	  inside one container window,
	  removed test resource inside wxSmith

2005-08-12 22:09  byo_spoon

svn0747:  Added "Selected" property for string lists, fixed bug
	  with default selection when sorted flag was set

2005-08-12 00:46  byo_spoon

svn0745:  Coded system for validating some deefault properties

2005-08-09 12:52  byo_spoon

svn0735:  Added support for wxPropertyGrid

2005-08-09 12:48  byo_spoon

svn0728:  Added support for wxPropertyGrid

2005-08-06 17:23  byo_spoon

svn0700:  Added compatibility with unicode version

2005-08-05 21:55  ceniza

svn0696:  Ready for UNICODE.

2005-08-04 23:27  byo_spoon

svn0690:  Added support for panels and frames

2005-08-03 23:51  byo_spoon

svn0685:  Minor bugfixes

2005-08-03 21:26  byo_spoon

svn0684:  Fixed bugs when deleting widget or closing C::B

2005-08-02 23:56  byo_spoon

svn0683:  Added cursors to drag window

2005-08-02 20:45  byo_spoon

svn0682:  Drag points coded

2005-08-02 20:03  ceniza

svn0681:  Added project file to compile the help plugin under
	  Linux with wxWidgets 2.4.x

2005-08-02 20:01  ceniza

svn0680:  First step for Linux support. Usable

2005-08-01 11:28  mandrav

svn0675:  * Updated unix project file and Makefile.unix
	  * Fixed editor caret and focus line disappearing under linux
	  * Fixed all dialogs to appear correctly under linux
	  * Enabled opened files tree under linux

2005-07-31 10:28  mandrav

svn0671:  * Fixed more bugs in compiler "Run" command when the
	  target's output or host application used environment variables.
	  Should be OK now.

2005-07-31 09:03  mandrav

svn0669:  * Fixed bug in compiler "Run" command when the target's
	  output used environment variables

2005-07-31 08:37  mandrav

svn0667:  * Merged latest change from VERSION_1_0, mainly
	  regarding compiler options dialog

2005-07-30 22:44  byo_spoon

svn0664:  Working on dragging layer

2005-07-30 19:19  mandrav

svn0663:  * When pressing "Run" it checks if the project isn't
	  built and displays a message (bug #1245691)

2005-07-30 12:36  mandrav

svn0661:  * Enabled "Find" function for files without project
	  (bug #1247438)

2005-07-30 12:30  mandrav

svn0659:  * Fixed code-completion wrong type recognition when
	  type was close to << (bug #1243639)

2005-07-30 10:57  mandrav

svn0656:  * Improved MinGW detection from registry (patch
	  #1247912 by zieQ)

2005-07-30 10:48  mandrav

svn0654:  * Fixed notebook flickering while opening project files
	  (thanks to Thomas).

2005-07-30 10:21  mandrav

svn0653:  * Fixed wrong expanding of environment variables in the
	  code-completion parser, resulting to innacurate parsing

2005-07-30 09:56  mandrav

svn0650:  * Added patch for wxHandleFatalExceptions (patch
	  #1236036 by orfanik)

2005-07-30 09:47  mandrav

svn0648:  * Updated setup files to use a treeview for plugins
	  selection (thanks to Julien Lecomte)

2005-07-30 09:35  mandrav

svn0647:  * Merged last updates from VERSION_1_0 branch

2005-07-29 09:25  mandrav

svn0645:  * Fixed debugger tooltip evaluation not shown (bug
	  #1240575)
	  * Added more options for the MSVC compiler (patch #1247085 by
	  zieQ)
	  * When creating new file, do not default to .rc extension. Does
	  not append an extension anymore.
	  * Fixed setting for default editor background color.

2005-07-29 00:45  byo_spoon

svn0640:  Started working on size/position change using mouse

2005-07-28 23:34  byo_spoon

svn0639:  Minor bug fixes

2005-07-28 07:32  ceniza

svn0638:  Bugfix when adding a new help file. Any file can be
	  added as help file and it'll run with the associated program. The
	  beginning of crossplatform.

2005-07-26 20:43  byo_spoon

svn0637:  Minor bug fixes

2005-07-24 21:28  mandrav

svn0629:  * Updated credits in about dialog
	  * Updated setup file

2005-07-24 20:58  mandrav

svn0623:  * Updated setup files and program version number

2005-07-24 11:57  mandrav

svn0622:  * Updated unix project file and makefile for wx2.6

2005-07-24 03:55  ceniza

svn0621:  Bugfix: 'default' cannot be used to name a helpfile
	  (it's for internal use of the plugin).

2005-07-24 03:17  ceniza

svn0620:  Using vector's [] operator where possible. Better UI
	  updating. Removed useless Cancel button. Fixed, I hope, default
	  help file being incorrectly updated. Other minor bugfixes.

2005-07-23 09:49  mandrav

svn0619:  * Updated wx2.6 project file and makefile
	  * Fixed most of the warnings
	  * Changed gcc's debugging switch from -g to -ggdb (users should
	  reset compiler defaults for this to take effect).

2005-07-23 09:12  mandrav

svn0617:  * Removed -w switch (no warnings) and added -Wall (all
	  warnings) in the wx2.6 project file.
	  * Polished the "New project from template dialog" a bit.
	  * Updated the "Start here" page to display the app version
	  (hardcoded for now).

2005-07-23 03:43  ceniza

svn0616:  From hashmap to vector. Up and Down buttons in
	  Configure Dialog. Bugfixes with default help file being
	  _randomly_ set. Calling a help file without a keyword will launch
	  it with the associated application. Coding style and more.

2005-07-22 09:55  ceniza

svn0615:  Minor changes. Commit test.

2005-07-22 09:19  mandrav

svn0613:  * Fixed more issues with custom vars in target's output
	  and dependencies checking in direct-mode.
	  * When editing a custom variable the project is now marked dirty.

2005-07-22 08:47  mandrav

svn0611:  * Fixed various issues with custom variables and
	  paths-with-spaces handling.
	  * Custom variables can be used now in target's output, object
	  output and dependencies output.

2005-07-21 10:33  mandrav

svn0603:  * Updated templates to support targets-per-file added.
	  * Added OGRE project template.

2005-07-21 09:21  mandrav

svn0601:  * Fixed deaded bug that would crash the app when
	  loading the project's code-completion cache (under certain
	  circumstances).
	  * Removed forgotten debugging logs from custom vars.

2005-07-21 08:58  mandrav

svn0600:  * Added custom vars support in target's working dir

2005-07-21 08:32  mandrav

svn0598:  * Made small toolbar size (16x16) the default for new
	  installations.

2005-07-21 08:17  mandrav

svn0595:  * Added option to disable code-completion. Class
	  browser is not affected.
	  * Added tooltips in all code-completion options.
	  * Fixed the order of timestamps checking before build, for
	  target's output and additional output files.

2005-07-20 20:13  mandrav

svn0594:  * Updated project file for wx2.4

2005-07-20 19:57  mandrav

svn0593:  * Updated project file for wx2.4

2005-07-20 17:53  mandrav

svn0587:  * Added "additional output files" property in build
	  targets. If the target's output or andy of these files are older
	  than the external dependencies, the target is relinked. Useful
	  especially for "commands only" targets where the target has
	  unknown output (without this property).

2005-07-20 12:09  mandrav

svn0586:  * Fixed wrong handling of arguments with spaces in
	  console_runner (bug #1241253).

2005-07-20 11:56  mandrav

svn0585:  * Added ability to choose the project's filename when
	  creating a new project from a user template (rfe #1241487)
	  * Fixed the bug displaying "path contains to many .."

2005-07-19 09:50  mandrav

svn0583:  * Finally really fixed freezing (disappearing)
	  workspace.

2005-07-19 09:10  mandrav

svn0581:  * Fixed debugger not working when the debugger's log is
	  not enabled (bug #1240575).
	  * Fixed debugger tooltip evaluation to stay open (bug #1240575).
	  * Fixed debugger's watches tree to remember it's open state after
	  each refresh (bug #1240575).

2005-07-18 11:51  mandrav

svn0579:  * Made code-completion custom variables aware (in
	  include dirs)

2005-07-18 10:30  mandrav

svn0577:  * Fixed issue with "disappearing" workspace on certain
	  circumstances.

2005-07-18 10:18  mandrav

svn0575:  * Fixed todo plugin not counting lines correctly in
	  mixed line-endings files (bug #1207611)

2005-07-18 10:03  mandrav

svn0573:  * Fixed some issues with pre-build and post-build steps
	  (bug #1236730)

2005-07-18 09:20  mandrav

svn0571:  * Open files tree splitter position is now saved (bug
	  #1239862)

2005-07-18 08:41  mandrav

svn0570:  * Fixed typo in regexes previously commited

2005-07-18 08:34  mandrav

svn0568:  * Updated GCC regexes (patch #1235978 by tiwag)
	  * Added "Defaults" button in compiler regexes configuration, to
	  revert to factory-defaults

2005-07-17 20:26  mandrav

svn0566:  * Fixed single-file compiling with GNU make.
	  * Fixed custom build commands issue when compiling with GNU make.
	  * Added more macros in custom build commands.

2005-07-17 18:05  mandrav

svn0565:  * Enabled File/Close and File/Save menu entries for
	  editors other than builtin (i.e. cbEditor).

2005-07-17 17:13  mispunt

svn0563:  Making path relative, exclude ./sdk/tinyxml/

2005-07-17 16:43  mandrav

svn0562:  * Fixed a compilation warning.

2005-07-17 16:30  mandrav

svn0560:  * Fixed special issues when compiling single file not
	  belonging in a project (not handling paths with spaces and
	  crashing sometimes). Thanks to ceniza for pointing it out.

2005-07-15 22:51  byo_spoon

svn0559:  Fixed some bugs with generation of new dialog

2005-07-15 15:18  byo_spoon

svn0556:  Fixed bug with wxSplitterWindow and wxDockIt panels

2005-07-15 13:47  mandrav

svn0555:  * Added debugger option to display the debugger's raw
	  output log (bug #1237624)

2005-07-15 13:18  mandrav

svn0552:  * Fixed TODO entry lost and not recreated bug (Bug
	  #1234517)

2005-07-15 12:25  mandrav

svn0550:  * Added ability to compile single file not belonging to
	  a project (rfe #1187697)

2005-07-15 11:17  mandrav

svn0549:  * Fixed bug that wouldn't compile single file in a
	  project

2005-07-15 09:35  mandrav

svn0547:  * Fixed bug with auto-hiding the message pane

2005-07-15 08:47  mandrav

svn0545:  * Fixed environment variables applying order (only
	  tested with direct-build-mode)

2005-07-14 22:46  byo_spoon

svn0544:  Improoved Code-Generation system (added working on
	  files)

2005-07-14 20:46  mandrav

svn0542:  * Fixed the target type for "update" and "doc" in the
	  wx2.6 project file.
	  * Fixed smart indent not working when typing at the end of file.

2005-07-14 16:17  mandrav

svn0541:  * Fixed error in small debugger toolbar using one large
	  icon

2005-07-14 11:53  mandrav

svn0539:  * Merged custom variables updates from VERSION_1_0

2005-07-13 22:22  byo_spoon

svn0536:  Fixed bugs with code generation inside widgets with
	  string lists

2005-07-13 10:22  mandrav

svn0535:  * Fixed bug with environment/custom variables working
	  only in global compiler options
	  * Custom variables are now set as environment variables for the
	  build process
	  * Updated wx2.6 project file and Makefile.wx2.6 to use WX_DIR and
	  WX_CFG custom variables

2005-07-13 08:25  mandrav

svn0533:  * Fixed "disappearing workspace" bug

2005-07-12 20:32  byo_spoon

svn0532:  Migrated to wxWidgets 2.6.1

2005-07-11 10:59  mandrav

svn0529:  * Updated win32 wx2.6 project file and Makefile.wx2.6
	  as well as the README and TODO files

2005-07-11 10:31  mandrav

svn0528:  * Added wxDockIt docking library
	  * Changed signature of "void cbPlugin::BuiltToolbar()" to "bool
	  cbPlugin::BuildToolbar()". Plugins should return true if they
	  built a toolbar, false if not.
	  * As of this commit, wx2.6 is the officially used version in
	  C::B.

2005-07-09 04:49  rickg22

svn0527:  Corrected minor details in the xml lexer

2005-07-09 04:37  rickg22

svn0526:  Implemented Lexer and Code folding for XML

2005-07-08 17:47  byo_spoon

svn0525:  Added new icons for wxListBox and wxComboBox

2005-07-08 17:31  byo_spoon

svn0524:  Added wxListBox maintained by cyberkoa

2005-07-08 11:44  mandrav

svn0523:  * Minor bug-fix in compiler options dialog, regarding
	  editing directories.

2005-07-08 11:37  mandrav

svn0522:  * Fixed bug with the early env. vars substitution. Now
	  they 're substituted before compilation.

2005-07-08 09:49  mandrav

svn0521:  * Added MacrosManager::ReplaceEnvVars()
	  * All compiler options/directories support environment vars now
	  (yes, templates too :) )
	  * Fixed "Make relative" dialog popped up twice when editing
	  paths/filenames (patch #1234455 by CrayzeeIvan)
	  * Added template for QT-4.0.0 applications, provided by Martin
	  Migasiewicz (patch #1234295)

2005-07-07 22:03  byo_spoon

svn0520:  Started working on code generation on-the-fly

2005-07-07 07:37  mandrav

svn0519:  * Updated unix project file and Makefile.unix

2005-07-06 23:06  rickg22

svn0518:  Fixed bug #1208287 - unable to rename project

2005-07-06 21:57  rickg22

svn0517:  Finished fixing bug # 1232661 Message window height
	  (size) is not stored

2005-07-06 18:03  rickg22

svn0516:  Fixed bug #1211251 - "select text mode" after source
	  code updated outside C::B

2005-07-05 13:57  mandrav

svn0515:  * Fixed bug with file groups and masks containing
	  slashes or backslashes (bug #1232658).
	  * Removed some debugging statements in lexer loading.

2005-07-05 13:28  mandrav

svn0514:  * Added support for the "this" keyword in
	  code-completion (bug #1232372).

2005-07-05 13:11  mandrav

svn0513:  * Fixed message window size not stored (bug #1232661) -
	  at least when not set to auto-open

2005-07-05 12:05  mandrav

svn0512:  * Updated all win32 wx2.6 build files :)

2005-07-05 07:45  mandrav

svn0511:  * Added functionality to manually edit paths (patch
	  #1232379 by CrayzeeIvan)
	  * Removed LinkLibDlg from compiler plugin (functionality provided
	  by the above patch)
	  * Updated win32 project file and Makefile

2005-07-04 20:53  mandrav

svn0510:  * Fixed StartHere page to work under linux

2005-07-04 10:57  mandrav

svn0509:  * Added the target option to *not* use
	  console_runner.exe for console projects (rfe #1209057).
	  * Bug fix in class parser not recognizing tokens starting with
	  underscores (fix by Ceniza).
	  * Applied wx2.6.1 compatibility changes (patch #1230781 by
	  orfanik)

2005-07-04 09:27  mandrav

svn0508:  * Fixed crash bug when a duplicate lexer is loaded.

2005-07-04 07:48  mandrav

svn0507:  * Added missing image files for patch #1231979
	  (debugger step-out)

2005-07-04 07:44  mandrav

svn0506:  * Debugger: Added Step Out feature to enable quick exit
	  of current { } block. Useful for bypassing for() and while()
	  loop. (patch #1231979 by kjliew)
	  * Debugger: Stop can be used to kill hung debug session. (patch
	  #1231979 by kjliew)
	  * Debugger: Added "Toggle breakpoint" and "Run to Cursor" to
	  editor right-click menu. (patch #1231979 by kjliew)
	  * Debugger: Fixed "Run To Cursor" breakpoint not to use relative
	  pathname, but only the filename. (patch #1231979 by kjliew)

2005-07-03 21:15  mandrav

svn0505:  * Helped issue with FreeBSD build not finding the
	  current app dir (binreloc error/bug?). If the base path is found
	  to be empty, return the current dir (".")

2005-07-03 14:52  mandrav

svn0504:  * Make sure all open files have valid content, after
	  creating a new file/project becuase some of the new files might
	  overwrite existing ones (bug #1225895)

2005-07-03 10:50  mandrav

svn0503:  * Fixed bug with VCTK where libraries with 3 or less
	  chars didn't get the ".lib" appended (bug #1218054)

2005-07-03 10:26  mandrav

svn0502:  * Enabled contructors/destructors to appear in
	  code-completion (bug #1229078)

2005-07-03 10:12  mandrav

svn0501:  * Fixed "adding files too slow" (bug #1231537)
	  * Added "add files recursively" option (rfe #1231603)
	  * Added MultiSelectDlg::SelectWildcard() function
	  * Updated win32 Makefile

2005-07-03 07:58  mandrav

svn0500:  * Removed code that could lead to crash from the
	  ClassBrowser destructor.

2005-07-03 00:53  rickg22

svn0499:  Completed support for custom editors in the Open Files
	  Tree. Added virtual bool VisibleToTree() in editorbase.

2005-07-03 00:05  rickg22

svn0498:  Updated EditorManager to allow "queryclosing" of custom
	  editors (was implemented, but not enabled)

2005-07-02 23:56  rickg22

svn0497:  Fix for bug #1231566: "Phantom" editor lines when
	  closing file. (Fix attempt #1)

2005-07-02 19:04  mandrav

svn0496:  * Bug-fix on styles not being set properly in AStyle
	  plugin (by Ceniza)

2005-07-02 17:48  rickg22

svn0495:  Fixed bug [ 1231461 ] "Global compiler options" cause
	  crash

2005-07-01 20:11  mandrav

svn0494:  * Added comment/uncomment to overcome the limited
	  functionality of "toggle comment" that was implemented (patch by
	  CrayzeeIvan).
	  * Updated unix project file and Makefile.unix

2005-07-01 18:58  mandrav

svn0493:  * Updated AStyle plugin to use aStyle-1.17.0-dev. Also
	  fixed some settings not being applied. Contributed by Ceniza.

2005-07-01 14:04  mandrav

svn0492:  * Added lexer files for "Hitachi H8 Assembler",
	  provided by tiwag
	  * Added lexer files for GameMonkey scripts
	  * Fixed combobox drop-down size in language selection for editor
	  colors

2005-06-29 16:11  byo_spoon

svn0491:  Added property for wxArrayString

2005-06-29 12:40  byo_spoon

svn0490:  Added mouse-blocking event handler for default widget
	  preview

2005-06-28 20:34  byo_spoon

svn0489:  wxsComboBox base addeed by cyberkoa

2005-06-28 13:45  mandrav

svn0488:  * Fixed win32 SDL template linker libs (thanks to
	  Former_Drill_Instructor from the forums)

2005-06-27 20:31  mandrav

svn0487:  * Updated lexers keywords specification.
	  * Added separate documentation comments configuration for C/C++
	  lexer.

2005-06-27 11:25  mandrav

svn0486:  * Correct fix for the "deadlock on file changed outside
	  the IDE" (added cbEditor::Touch()).

2005-06-27 08:08  mandrav

svn0485:  * Updated unix project file and Makefile.unix

2005-06-26 17:17  mandrav

svn0484:  * Fixed workspace unfolding on every update (bug
	  #1214926)

2005-06-26 16:32  mandrav

svn0483:  * Fixed "dead-lock" when a file is modified outside the
	  IDE and the IDE is minimized (bug #1222697)

2005-06-26 16:06  mandrav

svn0482:  * Enable GDB breakpoints on constructors/destructors.
	  Works with GDB 6.3.1 (patch #1227446 by kjliew)
	  * Allow using "Step into" to start a debugging session (patch
	  #1227446 by kjliew)
	  * Close/kill a debug session if C::B is shutting down (patch
	  #1227446 by kjliew)
	  * Breakpoints fix for DLL source files (patch #1227446 by kjliew)
	  * Enabled "Find in files" when no editor is open
	  * Added README.txt in lexers dir with *rough* comments on usage.

2005-06-24 21:53  mandrav

svn0480:  * Updates to the new editor color sets (themes) XML
	  system.
	  * Added theme for win32 resources (*.rc)

2005-06-24 13:56  mandrav

svn0479:  * Re-designed the editor color sets (themes).
	  Configuration is now loaded from XML files, one file
	  per-language. Two languages are currently provided: C/C++ and
	  Lua. More languages can be added by merely adding XML files :)

2005-06-21 09:17  mandrav

svn0478:  * Included "settings.h" in editorbase.h (or all derived
	  editors should)

2005-06-21 09:12  mandrav

svn0477:  * Fixed bug in debugger's backtrace window (when
	  cleared, the columns weren't recreated rendering it useless).

2005-06-21 09:08  mandrav

svn0476:  * When the max compiler errors limit is reached, a
	  message stating it is being added in the compiler log, so that
	  the user knows that there are more errors with his code but are
	  not shown.
	  * Applied patch for "Debug->Run to cursor" to be enabled during
	  debugging too (patch submitted by kjliew in bug #1223029)

2005-06-21 08:49  mandrav

svn0475:  * Applied regex patch for compiler's depslib (patch
	  #1224472 submitted by joaander)

2005-06-21 08:30  mandrav

svn0474:  * Added smart as-you-type indentation in editor
	  * Added editor configuration option to enable/disable smart
	  indentation

2005-06-18 15:52  rickg22

svn0473:  Added DLLIMPORT clause to messagemanager.h

2005-06-18 15:40  rickg22

svn0472:  Removed conflicting DLLIMPORT from startherepage.cpp

2005-06-18 15:40  rickg22

svn0471:  Editormanager's Queryclose now works with EditorBase

2005-06-17 09:30  mandrav

svn0470:  * Fixed output parsing for all compilers to
	  "understand" the "-" character in filenames (bug #1206508).

2005-06-17 09:22  mandrav

svn0469:  * Fixed handling of files without extension by the
	  default MIME handler plugin (bug #1205788).

2005-06-17 08:46  mandrav

svn0468:  * Fixed recognition of linker libraries when importing
	  Dev-Cpp projects (bug #1213209).
	  * Fixed resource files linking setting when importing Dev-Cpp
	  projects (bug #1213209).

2005-06-17 08:25  mandrav

svn0467:  * Made "single-instance-check" configurable in
	  environment settings (need to be disabled to develop C::B!)
	  * Updated win32 Makefile

2005-06-17 08:07  mandrav

svn0466:  * Implemented running instances check and allow only
	  one instance running (bug #1214922).

2005-06-17 06:47  rickg22

svn0465:  Added support for context menu's in EditorBase class.

2005-06-16 18:21  mandrav

svn0464:  * Fixed code-completion popping up after the "." or
	  "->" operators even when it shouldn't - like pressing shortcut
	  keys like Ctrl-C (bug #1221020)

2005-06-16 17:44  mandrav

svn0463:  * Fixed "Categorize by file types" not working in the
	  project tree submenu (bug #1221458)

2005-06-16 17:38  mandrav

svn0462:  * Fixed crash when search-in-files returned no results
	  (bug #1221560)

2005-06-16 05:18  rickg22

svn0461:  Fixed incompatibility with VC++ (changed struct Tool to
	  class Tool)

2005-06-16 04:23  rickg22

svn0460:  Added "openfilestree.h" which contains wxTreeItemData
	  definitions (used by cbProject and EditorManager)

2005-06-16 03:18  rickg22

svn0459:  Removed useless editor interface option from the
	  environment settings dialog (bug #1221373)

2005-06-16 03:17  rickg22

svn0458:  Replaced include "editorbase.h" for a class declaration
	  to speed up compile times

2005-06-15 13:24  mandrav

svn0457:  * Fixed force re-linking when external dependencies are
	  updated (bug #1215105). External dependencies are set in project
	  properties.

2005-06-15 12:32  mandrav

svn0456:  * Fixed default file filter for Project->Open (bug
	  #1213466).

2005-06-15 12:26  mandrav

svn0455:  * Made object filename (in project file properties)
	  read-only (bug #1216213).

2005-06-15 12:21  mandrav

svn0454:  * Fixed output filename always converted to lowercse
	  (bug #1216213). Also fixed it project-wide...

2005-06-15 10:58  mandrav

svn0453:  * Fixed bug with post-build commands not loaded when
	  pre-build commands exist (bug #1218938)

2005-06-15 10:53  mandrav

svn0452:  * Fixed toolbar visibility not saved (bug #1215013)

2005-06-15 04:22  rickg22

svn0451:  Revamped Editorbase class. Filenames, customizable
	  context menus available for all subclasses. Default context menu
	  includes all "save" and "close" items.

2005-06-10 13:05  mandrav

svn0450:  * Fixed bug in "Search->Goto function" (introduced
	  earlier)

2005-06-10 10:11  mandrav

svn0449:  * Fixed wrong static library linker command line for
	  DigitalMars (bug #1209395)

2005-06-10 09:50  mandrav

svn0448:  * Fixed "save-all doesn't refresh open files tree" (bug
	  #1216529)
	  * Modified files in open files tree use different icon now
	  instead of '*' (rfe #1216535)
	  * "Save" menu item in open files tree context menu doesn't
	  display now, if the file is not modified

2005-06-10 08:44  mandrav

svn0447:  * Display full info on file's functions (instead of
	  just the name) in "Search->Goto function" (bug #1217442)

2005-06-10 08:41  mandrav

svn0446:  * Added "Remove files" and "Project tree" menu items in
	  project tree context menu (rfe #1211361)

2005-06-10 06:37  rickg22

svn0445:  Changed "Execution Parameters" to "Program Arguments"

2005-06-10 06:24  rickg22

svn0444:  Added "Save, Save All" to cbEditor's context menu entry

2005-06-09 05:42  rickg22

svn0443:  Fixed memory leak in editor context menu.
	  * Simplified context menu for open files tree

2005-06-09 05:42  rickg22

svn0442:  Fixed redundant class selector in constructor

2005-06-07 19:03  mandrav

svn0441:  * Added editor's context menu in the open files tree
	  (rfe #1216570)

2005-06-07 09:16  mandrav

svn0440:  * Added "not implemented" message in "import
	  configuration" function... (bug #1215460)

2005-06-06 22:30  byo_spoon

svn0439:  Added icons for widgets

2005-06-01 11:09  mandrav

svn0438:  * Minor bug fix

2005-05-31 19:37  mandrav

svn0437:  * Updated OpenWatcom support (patch #1211424 from Carl
	  Young)
	  * Removed wlib_wrapper OpenWatcom static lib wrapper (no longer
	  needed)

2005-05-29 21:56  mandrav

svn0436:  * Updated linux project file for wx2.6 and
	  Makefile.unix.wx2.6

2005-05-29 17:30  mandrav

svn0435:  * Updated linux project file and Makefile.unix

2005-05-29 16:54  mandrav

svn0434:  * Fixed "default workspace" bug, introduced in last
	  commit

2005-05-29 09:05  mandrav

svn0433:  * Removed forgotten hard-coded limit of 50 max logged
	  compiler errors and made it configurable (bug #1210561)

2005-05-29 08:50  mandrav

svn0432:  * Fixed saving workspace

2005-05-29 02:39  rickg22

svn0430:  Fixed Notebook+Shift-Tab bug

2005-05-28 23:49  byo_spoon

svn0429:  Can add new dialogs now

2005-05-28 22:04  rickg22

svn0428:  Fixed bug in FindInFiles which showed report for
	  unexistent files in project

2005-05-28 21:49  mandrav

svn0427:  * Fixed crash bug with some links of the "Start here"
	  page (bug #1210471)
	  * Added rudimentary compiler support for OpenWatcom thanks to an
	  anonymous user who provided me the details (no compiler options
	  yet)
	  * Added wlib_wrapper project for building static libs with
	  OpenWatcom

2005-05-28 20:04  mandrav

svn0426:  * Added patch #1210465: Jump to definition on
	  double-click in class browser

2005-05-28 19:44  rickg22

svn0425:  Added more tips

2005-05-28 16:26  mandrav

svn0424:  * Implemented "Find In Files" ;)

2005-05-28 12:08  byo_spoon

svn0423:  Added event system to handle global events

2005-05-28 10:24  mandrav

svn0422:  * Added editor configuration for the tab text
	  displaying file's name only or relative filename from project
	  file

2005-05-28 09:55  mandrav

svn0421:  * Updated files for wx2.6

2005-05-28 07:55  byo_spoon

svn0420:  Added some missing files

2005-05-28 05:10  rickg22

svn0419:  Added shortcuts to emulate MDI behavior:
	  Ctrl-F4,Ctrl-Shift-F4,Ctrl-F6,Ctrl-Shift-F6.

2005-05-27 22:32  byo_spoon

svn0418:  Unified event handling for previewed widgets, added
	  wxToggleButton, fixed scrollbars bug for widgets palette

2005-05-27 19:34  mandrav

svn0417:  * Fixed bug that wouldn't save regex's in advanced
	  compiler options, if edited a regex and pressed OK directly.

2005-05-27 16:53  mandrav

svn0416:  * Fixed crash bug when opening a project using an
	  invalid compiler (bug #1209789)

2005-05-27 16:26  mandrav

svn0415:  * Fixed crashes when launching for the first time and
	  there is no C::B configuration stored.

2005-05-27 15:03  byo_spoon

svn0414:  Fixed bug with editor's placement

2005-05-27 13:53  byo_spoon

svn0413:  First attempt to fix incompatibilities with new editor
	  system

2005-05-27 11:43  mandrav

svn0412:  * Updated Makefile with the latest changes

2005-05-27 11:41  mandrav

svn0411:  * Converted from MDI to wxNotebook :)
	  * Note to plugin developers: Manager::Get()->GetAppWindow() now
	  returns a wxFrame as opposed to wxMDIParentFrame. Also,
	  EditorBase is now a wxPanel instead of wxMDIChildFrame.
	  * Added two new SDK events: EVT_APP_STARTUP_DONE (fires when app
	  finished loading) and EVT_APP_START_SHUTDOWN (fires just before
	  app starts shutting down).
	  * Added "Start here" page with common tasks (can be disabled in
	  env. settings).

2005-05-27 08:51  byo_spoon

svn0410:  Removes dome bugs

2005-05-27 00:41  byo_spoon

svn0409:  Fixed dbugs with properties panel, added easy widget
	  deefinition system

2005-05-25 23:35  byo_spoon

svn0408:  Xrc-saving system is working now, rededsigned xml
	  generation system a little bit

2005-05-25 19:46  mandrav

svn0407:  * Used wxListCtrl::ClearAll() instead of wrongly used
	  wxListCtrl::Clear() in debuggergdb/backtracedlg.cpp

2005-05-25 16:39  byo_spoon

svn0406:  Fixed bugs with wx 2.4 sizers

2005-05-25 09:54  byo_spoon

svn0405:  Switched to wxWidgets 2.4

2005-05-25 09:52  mandrav

svn0404:  * Correct fix for link command bug
	  * Added DevPak plugin

2005-05-25 08:09  mandrav

svn0403:  * Fixed recently introduced compiler bug that wouldn't
	  link the output file if no objects needed to be compiled.

2005-05-24 09:56  byo_spoon

svn0402:  Added ability to create and delete widgets inside form

2005-05-23 20:27  mandrav

svn0401:  * Fixed bug in resizing the manager tree when it was on
	  the right side of the app

2005-05-23 20:18  byo_spoon

svn0400:  First Update

2005-05-23 12:51  mandrav

svn0399:  * Updated included TinyXML to export its classes.
	  * Fixed "Close all projects" which was not working.

2005-05-23 09:12  mandrav

svn0398:  * Added wxSmith (RAD editor) plugin in
	  plugins/contrib/wxSmith. Maintainer: byo

2005-05-22 21:07  mandrav

svn0397:  * Added stream logging (e.g. LOGSTREAM << "Hello
	  world\n") - look documentation in messagemanager.h
	  * Fixed bugs occuring when using projects/targets with invalid
	  compiler (e.g. from another machine/OS)
	  * Fixed UI glitch when repositioning the manager tree in an empty
	  workspace
	  * Added "auto-hide" operation for message pane (experimental)
	  * Added environment configuration for message pane "auto-hide"
	  functionality and default/blank workspace loading on startup
	  * Don't ask to save the default workspace, if it is not loaded at
	  start-up (new configuration option)
	  * Ignore read-only files when checking for modifications outside
	  the IDE (bug #1206222)

2005-05-21 11:09  mandrav

svn0396:  * Fixed crash when debugging a project/target
	  configured to use an invalid debugger

2005-05-21 11:09  mandrav

svn0395:  * Fixed crash when compiling a project/target
	  configured to use an invalid compiler

2005-05-20 13:37  mandrav

svn0394:  * Fixed compiling projects with different compilers per
	  target, when using GNU "make".

2005-05-20 07:37  mandrav

svn0393:  * Made manager tree dockable on the left *or* right
	  side of the IDE (View->Manager position).

2005-05-19 13:53  mandrav

svn0392:  * Removed "Commands" compiler options page when showing
	  global options (it's not a global option)
	  * Removed "Custom vars" compiler options page when showing
	  non-global options (it's a global option)

2005-05-19 13:43  mandrav

svn0391:  * Fixed "some compiler messages wrongly colored as
	  errors" issue (bug #1198732)

2005-05-19 13:16  mandrav

svn0390:  * Added 'strip' option to GCC

2005-05-19 13:03  mandrav

svn0389:  * Added "always run" checkbox for pre/post-build
	  commands.

2005-05-18 12:09  mandrav

svn0388:  * Fixed compiler bug that would use the project-wide
	  compiler for all targets. This was clearly wrong, as we can
	  configure the compiler per-target... (still needs work for
	  Makefiles though)
	  * Made pre/post build steps run no matter if the linking phase
	  runs or not (as per the relevant forums discussion).

2005-05-16 13:59  mandrav

svn0387:  * Fixed code-completion to correctly understand what
	  function the cursor is in (didn't work for functions not under a
	  class/namespace).
	  * Added folder plugins/contrib for hosting third-party plugins,
	  maintained by their authors. All contributed plugins will have
	  their own project file and will not be included in the normal
	  Code::Blocks build.
	  * Added the first third-party contributed plugin: HelpPlugin. It
	  was contributed by bourricot and will be maintained by him.
	  Located in plugins/contrib/help_plugin.

2005-05-16 07:35  mandrav

svn0386:  * Changed version to 1.0-cvs
	  * Updated (not officially supported) linux build files for
	  wxWidgets-2.6.0

2005-05-15 19:48  mandrav

svn0384:  * Updated ChangeLog for 1.0-finalbeta

2005-05-15 19:22  mandrav

svn0383:  * Fixed debugger to work again under win98
	  * Updated setup files

2005-05-15 13:04  mandrav

svn0382:  * Removed the debugger's debugging log (prior to
	  releasing finalbeta)
	  * Updated version to 1.0-finalbeta

2005-05-13 09:13  mandrav

svn0381:  * Enabled "working dir" build target setting for
	  dynamic libraries (bug #1201182)

2005-05-11 11:58  mandrav

svn0380:  * Added new 64x64 icon (xpm) for unix

2005-05-11 11:40  mandrav

svn0379:  * Fixed debugger's behaviour under linux

2005-05-11 11:29  mandrav

svn0378:  * Updated unix project file and Makefile.unix
	  * Fixed error in auto-complete keywords loading, where it would
	  wrongly try to expand environment variables

2005-05-11 10:11  mandrav

svn0377:  * "Debug" button in debugger's toolbar, now behaves as
	  "Continue" if the program is already being debugged

2005-05-11 10:02  mandrav

svn0376:  * Added "Disassembly" debugging window
	  * Added "Back-trace" debugging window
	  * Display message that the user cannot "run" a commands-only
	  target

2005-05-11 01:27  rickg22

svn0375:  * Fixed debuggergdb compilation problems that appeared
	  with the path handling patch.

2005-05-10 01:55  rickg22

svn0374:  * Fixed missing user32 in OpenGL template

2005-05-10 01:37  rickg22

svn0373:  * Moved braces highlighting to the SDK for coherence.
	  + Improved braces highlighting to detect braces in the previous
	  cursor position.

2005-05-09 11:22  mandrav

svn0372:  * Modified new icon (made it a little brighter)
	  * Modified the small codeblocks setup logo to use the new "3D"
	  style

2005-05-09 09:21  mandrav

svn0371:  * Corrected filenames sent to the debugger plus allowed
	  debugging of filenames with spaces (patch #1197802 by Eric
	  Burnett)
	  * Fixed some cases where the debugger's watches tree would get
	  malformed (wrong fields separation)
	  * Added Eric Burnett to contributors in the about dialog

2005-05-09 03:30  rickg22

svn0370:  + Added thanks to JA Ortega for the 3d icon

2005-05-09 03:22  rickg22

svn0369:  Added 3D icon. Thanks to Juan Antonio Ortega.

2005-05-08 21:56  rickg22

svn0368:  Various usability enhancements to the compiler options:
	  + Libraries, variables and paths can be added/edited/deleted with
	  the INS/ENTER/DEL keys.
	  + Most dialogs are now OK/Cancel instead of YES/NO (this means
	  you can press ESC to abort them)
	  + Added extra confirmation ("Are you really sure?") for "reset to
	  compiler defaults".

2005-05-08 15:40  rickg22

svn0367:  + Added thanks to Chris Raschko (chrispunkt) for the 3D
	  logo

2005-05-08 15:30  rickg22

svn0366:  * Moved compiler settings outside the "plugins" menu.

2005-05-08 15:29  rickg22

svn0365:  + Updated the Code::Blocks logo to the 3D version

2005-05-08 10:43  mandrav

svn0364:  * Added "extra paths" in compiler configuration (added
	  in environment PATH while running compiler)

2005-05-08 09:31  mandrav

svn0363:  * Fixed working directory when using makefiles (bug
	  #1197518)
	  * Added keywords auto-completion in editor (not to be confused
	  with the code-completion plugin). Check editor's configuration,
	  "Auto-complete" page for more info...

2005-05-07 21:45  rickg22

svn0362:  Fixed early exit hang/crash by replacing
	  wxPostEvent(x,e) with x->ProcessEvent(e) in pluginmanager.cpp

2005-05-07 14:29  rickg22

svn0361:  Fixed early exit hang/crash by replacing
	  wxPostEvent(x,e) with x->ProcessEvent(e) in pluginmanager.cpp

2005-05-05 06:34  mandrav

svn0359:  * Added gcc compiler option -O3 (rfe #1191601)

2005-05-03 09:59  mandrav

svn0358:  * Added "reparse now" entry in class browser's context
	  menu, when on root item

2005-05-03 05:10  rickg22

svn0357:  Added menu option: "Save Workspace as..."

2005-05-02 18:17  mandrav

svn0356:  * Fixed search dialog to not set "selected-text" search
	  by default, if the selected text is just the searched word (bug
	  #1192823)

2005-05-02 07:35  mandrav

svn0355:  * Project execution setting "host application" is now
	  remembered (bug #1191932)

2005-05-02 07:28  mandrav

svn0354:  * Fixed toolbar show/hide (side-effect: bug #1192650)
	  * Added debugger's resources to project.

2005-04-29 16:07  mandrav

svn0353:  * Added "gdb-initial-commands" in debugger's
	  configuration (useful for new users to avoid creating .gdbinit)
	  * By default, for console projects, debugger is configured with
	  "set new-console on"

2005-04-29 15:44  mandrav

svn0352:  * Show message for "Compiler/Create distribution" when
	  "make" is not used
	  * Fix code-completion cache saving when closing C::B

2005-04-29 09:26  mandrav

svn0351:  * Added Makefile.unix.wx2.6 (codeblocks doesn't work
	  well with wx2.6)
	  * Fixed a "deprecated" warning for wx2.6

2005-04-28 09:41  mandrav

svn0350:  * Replaced yes-no buttons with ok-cancel in "search
	  text not found" dialog, to dismiss with Escape (bug #1191222)

2005-04-28 09:36  mandrav

svn0349:  * Implemented code-completion cache (rfe #1190481).
	  * Code-completion plugin's configuration dialog updated.

2005-04-27 12:27  mandrav

svn0348:  * Updated unix build files

2005-04-27 12:13  mandrav

svn0347:  * Added PersonalityManager to support different
	  personalities/profiles (see documentation in
	  sdk/personalitymanager.h for info)

2005-04-26 13:15  mandrav

svn0346:  * Updated "wxWindows" strings to "wxWidgets" in the
	  wxWidgets template.

2005-04-26 13:07  mandrav

svn0345:  * Removed obsolete wxHIDE_READONLY flag from file
	  dialogs

2005-04-26 11:01  mandrav

svn0344:  * Global resource compiler dirs are remembered now (bug
	  #1186685)

2005-04-26 10:52  mandrav

svn0343:  * Fixed handling of filenames with spaces in default
	  MIME handler plugin (bug #1187231)

2005-04-25 13:35  mandrav

svn0342:  * Fixed recursion bug in class-browser's
	  class-ancestors handling.

2005-04-25 12:32  mandrav

svn0341:  * Added new project file for building Code::Blocks with
	  wxWidgets-2.6.0. Also added a small HOWTO of the process.

2005-04-25 12:10  mandrav

svn0340:  * Fixed SDL template icon

2005-04-25 12:07  mandrav

svn0339:  * Re-adding template images, as binaries this time

2005-04-25 12:05  mandrav

svn0338:  * Removing template images (corrupted because they were
	  not added as binaries)

2005-04-25 11:55  mandrav

svn0337:  * Re-organized templates folder to allow for different
	  templates based on OS

2005-04-25 09:20  mandrav

svn0336:  * Fixed error in compiler's run command that wouldn't
	  work under linux

2005-04-25 08:27  mandrav

svn0335:  * Updated linux build files

2005-04-25 07:32  mandrav

svn0334:  * Updated win32 Makefile (after fixing a small bug in
	  makefilegenerator.cpp)

2005-04-23 23:50  rickg22

svn0333:  * Fixed display bug in Open Files tree (top editor was
	  not refreshed on layout loading)

2005-04-23 23:08  rickg22

svn0332:  * all opened cbEditors are maximized on opening (except
	  when loading) by default

2005-04-23 18:55  rickg22

svn0331:  Fixed "random file on top at startup" bug

2005-04-23 14:16  rickg22

svn0330:  Files opened from the project tree (double click) are
	  opened with the current window's normal/maximized state

2005-04-23 13:43  rickg22

svn0329:  Added various #if's to manage incompatibilities between
	  wx2.4.2 and wx.2.5+

2005-04-23 08:06  mandrav

svn0328:  * Removed all warnings about deprecated symbols when
	  compiling with wxWidgets-2.6.0

2005-04-23 02:29  rickg22

svn0327:  * Restores (in theory) the top editor when loading
	  workspace. In practice, however, that editor isn't found (!?)
	  * Maximizes the last opened file after loading workspace

2005-04-22 13:37  mandrav

svn0326:  * Fixes for compilation with wxWidgets-2.6.0
	  * Project files and Makefiles *not* updated - something's wrong
	  with MDI...

2005-04-21 15:52  mandrav

svn0325:  * Updated XP-style-manifest location in win32 resource
	  file

2005-04-21 13:13  mandrav

svn0324:  * Added EditorBase class to allow for custom editors,
	  beside the builtin. cbEditor inherits it and so must do every
	  custom editor.
	  * Updated whole project for the above change.

2005-04-21 08:40  mandrav

svn0323:  * Fixed bug in search function

2005-04-21 07:49  mandrav

svn0322:  * Improved project loading times by using a hashmap in
	  cbProject::GetFileByFilename()

2005-04-21 03:12  rickg22

svn0321:  * Further optimized project loading (AddFile)

2005-04-21 01:31  rickg22

svn0320:  Optimized building of the project tree: AddFile now
	  only checks for existing files if(!m_CurrentlyLoading).

2005-04-20 13:17  mandrav

svn0319:  * Bug-fix in .vcproj importer: compiler search dirs
	  * Bug-fix in .dsp importer: no compiler options imported some
	  times (bug #1186606)

2005-04-20 13:03  rickg22

svn0318:  + Added message "Generating project tree..." in
	  projectloader
	  * Pinpointed loading bottleneck in cbproject.cpp (Added TODO
	  item)

2005-04-20 08:56  mandrav

svn0317:  * Fixed ToDo list not refreshing (bug #1185950)

2005-04-20 08:25  mandrav

svn0316:  * When a file of unknown type is opened, the MIME
	  handler plugins are asked to open it.

2005-04-20 07:48  mandrav

svn0315:  * Speed-up project loading times (broken by my last
	  commit about the"unnamed-new-files" bug)

2005-04-20 02:34  rickg22

svn0314:  * Skipped the base path calculation if the project is
	  still loading (now does it at the end of ProjectLoader::DoUnits).
	  Still works as usual when adding the files manually.

2005-04-19 13:20  mandrav

svn0313:  * Don't default to "search in selected text" for
	  searches, when the selected text is the result of the previous
	  search (rfe #1144178)

2005-04-19 13:07  mandrav

svn0312:  * Display "text not found" message if the search failed
	  (rfe #1143936)

2005-04-19 12:35  mandrav

svn0311:  * Correct fix for unnamed-new-files in project tree

2005-04-18 14:37  mandrav

svn0310:  * Resource files are highlighted like C/C++ files (rfe
	  #1184765)

2005-04-17 19:03  mandrav

svn0309:  * Fixed bug when creating a new project from template,
	  where the files in the tree wouldn't have names (visual glitch).

2005-04-17 17:14  rickg22

svn0308:  Removed manifest file from the output directory (it's
	  already copied by update.bat from the setup directory)

2005-04-17 14:14  rickg22

svn0307:  Fixed typo library in the wxwindows_static.cbp

2005-04-17 01:29  rickg22

svn0306:  * You can now build statically-linked wxWidgets
	  projects (note: needs libwxmsw.a in your library directory)

2005-04-16 17:35  mandrav

svn0304:  * Added missing manifest file for XP styles in
	  repository

2005-04-16 17:26  mandrav

svn0303:  * Preparing for 1.0-beta7

2005-04-16 17:20  mandrav

svn0302:  * Updated ChangeLog for 1.0-beta7

2005-04-16 16:31  mandrav

svn0301:  * Updated code-completion
	  * A small future-bug fix (!) in cbProject

2005-04-15 21:44  mandrav

svn0300:  * Fixed a project tree flicker-less update issue

2005-04-15 21:29  mandrav

svn0299:  * Improved handling of externally modified files (rfe
	  #1183847)
	  * Updated win32 Makefile

2005-04-15 20:46  mandrav

svn0298:  * Removed most of the project tree flickering on
	  certain actions

2005-04-15 20:08  mandrav

svn0297:  * When "cleaning" a dynamic library target (dll), the
	  .def exports file is not deleted anymore, because it might be
	  supplied by the user (bug #1183690)

2005-04-15 16:32  mandrav

svn0296:  * Updated unix project file and Makefile.unix
	  * Updated some #includes to work in linux (quotes used instead of
	  angled
	  braces)

2005-04-15 12:01  mandrav

svn0295:  * Fixed generated Makefile to correctly create needed
	  dirs for objects and dependencies output
	  * Fixed project tree to contain "clean" paths (folders). No more
	  ".." folders ;)
	  * Fixed objects and dependencies output to behave correctly even
	  for relative files in dirs above the project file's dir

2005-04-15 02:45  rickg22

svn0294:  * Disabled Project menus (even popup menus) when
	  Project hasn't finished loading.
	  * Replaced annoying "Project files are still being loaded"
	  wxMessageBox() with a much more friendly wxBell().
	  * Fixed crash in MainFrame::OnProjectCloseProject (a "return;"
	  was missing inside the "if").

2005-04-14 12:05  mandrav

svn0293:  * Added ChooseDirectory() function in globals.cpp - use
	  this if you want a dir selector dialog
	  * Because of the above, absolute paths are now possible where a
	  path is entered (like compiler dirs, working directory, etc)
	  * Replaced all calls to other dir selector dialogs to use the new
	  ChooseDirectory()

2005-04-14 10:04  mandrav

svn0292:  * Bug-fix that, upon "Run", would try to locate the
	  executable in the working dir.

2005-04-14 09:28  mandrav

svn0291:  * Removed calls to wxSafeYield() which disabled input
	  and made the program slower (at least appeared to be)
	  * Updated project file options dialog to support multiple build
	  targets. Contribution by Tim Baker (patch #1182707)

2005-04-14 03:38  rickg22

svn0290:  Added Tim Baker's regexp patch

2005-04-13 18:10  mandrav

svn0289:  * Added initial printing support :)

2005-04-13 13:17  rickg22

svn0288:  Restored opening windows showing (aka flicker) so the
	  program won't seem to be slow

2005-04-13 12:37  mandrav

svn0287:  * Added "working dir" build target property (used for
	  program execution)
	  * Updated code-completion's intelligence to look inside
	  namespaces for unknown tokens

2005-04-13 11:36  mandrav

svn0286:  * Fixed MSVS7 importer to correctly detect .vcproj
	  version and adjust importing accordingly

2005-04-13 08:19  mandrav

svn0285:  * Updated unix project file and Makefile.unix

2005-04-12 22:01  mandrav

svn0284:  * Updated win32 Makefile

2005-04-12 21:08  mandrav

svn0283:  * Added missing source files from compiler plugin
	  (sorry!)

2005-04-12 20:49  mandrav

svn0282:  * Updates in dependencies generation by Tim Baker
	  (patch #1181730)
	  * Corrected a couple of #include's

2005-04-12 11:07  mandrav

svn0281:  * Fixed search directories re-ordering in compiler
	  options (bug #1181038)

2005-04-12 11:01  mandrav

svn0280:  * Global compile options (compiler/linker dirs/options)
	  are now put after the project options (bug #1180420)

2005-04-10 10:01  mandrav

svn0279:  * Updated "about" dialog (contributors part) and
	  contact email

2005-04-10 09:51  mandrav

svn0278:  * Updated dependencies generation for direct-mode,
	  using Tim Baker's patches (#1179956 and #1179950). Changes offer
	  a huge speed increase and there is no need for individual .depend
	  files anymore. One dependency file per-project is used now to
	  cache the file dependencies. The per-target dependency output
	  directory setting is now used only for "make-mode" compiling.

2005-04-10 09:07  mandrav

svn0277:  * Added separate setting for resource compiler dirs
	  * Re-arranged the compiler options dialog: merged the compiler
	  and linker dirs and added resource dirs, all in one page
	  * Added message display when the debugger isn't set and a
	  debugging session has been requested (bug #1180013)
	  * Updated win32 Makefile and project

2005-04-10 03:23  rickg22

svn0276:  + Added Tim Baker's (yet another) optimization patch
	  for directcommands.cpp
	  * Removed "Esc" accelerator key from "abort" compiler menu
	  (didn't work, anyway)

2005-04-10 02:45  rickg22

svn0275:  + Added maintenance comments and CVS ID tags to
	  directcommands.cpp

2005-04-10 02:37  rickg22

svn0274:  + Added "self" parameter to wxSafeYield for
	  messagemanager (this should allow *in theory* changing tabs. In
	  practice it doesn't work, but doesn't harm either so i'm leaving
	  it like that)
	  + Added user friendly error message to the debugger in case the
	  default debugger is not set up.
	  * Fixed display glitch in the Compiler Settings dialog (xrc).

2005-04-09 22:24  rickg22

svn0273:  To do items for beta 1.8 and above

2005-04-09 19:48  rickg22

svn0272:  * Fixed "ugly DDE error" bug (TODO: Add a button in
	  settings to "Set File associations now")

2005-04-09 07:53  mandrav

svn0271:  * Removed from CVS the obsolete .dsp files. They were
	  old and unmaintained and they were cluttering the base dir.

2005-04-08 11:46  mandrav

svn0270:  * Updated project file with the latest fixes about
	  commands-only targets

2005-04-08 11:39  mandrav

svn0269:  * Fixed filename issue with commands-only targets (bug
	  #1178232)

2005-04-08 10:01  mandrav

svn0268:  * Improved templates handling in class-parser

2005-04-08 05:41  rickg22

svn0267:  * Sped up (a little) project/workspace loading (less
	  flicker, etc)
	  * Added new parameter to EditorManager::Open to speed up project
	  loading (doesn't search for projectfile data anymore, since we
	  already have it)

2005-04-08 01:40  rickg22

svn0266:  * Replaced all occurrences of wxYield() with
	  wxSafeYield(). wxYield() is _NOT_ safe for critical operations
	  and may lead to unexpected crashes.

2005-04-07 13:41  mandrav

svn0265:  * Minor update to class browser to display "jump to
	  implementation" only where appropriate.
	  * Added union support in class-parser
	  * Fixed handling of nameless structs (f.e. used in unions) in
	  class-parser

2005-04-07 12:52  mandrav

svn0264:  * Added "jump to implementation" class-parser
	  functionality for the symbols tab - Needs testing.

2005-04-07 11:22  mandrav

svn0263:  * Fixed class-parser handling of multiple var
	  declarations on a single line, e.g. "int x,y,z;" (bug #1162313)

2005-04-07 11:02  mandrav

svn0262:  * Both single and double-click on a compiler error,
	  jumps to the error line (bug #1178104)

2005-04-07 10:43  mandrav

svn0261:  * Fixed handling of unnamed enums in class-parser
	  * Corrected line number calculation for class-parser tokens (used
	  in "jump to declaration")

2005-04-07 05:47  rickg22

svn0260:  * Moved some methods of Editor Manager into the
	  protected area
	  *** Fixed thread crashing on early exit
	  (TODO: Fix project manager's freeze on early project closing)

2005-04-07 02:57  rickg22

svn0259:  + Added managed thread class for later use.

2005-04-07 02:46  rickg22

svn0258:  Added managed thread class for future reimplementation
	  of parserthread (WARNING: Not tested yet)

2005-04-06 21:03  mandrav

svn0257:  * Added message that files outside project can't be
	  compiled (bug #1177988)
	  * Added setup file for the full package (codeblocks + mingw)

2005-04-06 11:30  mandrav

svn0256:  * Added menu entry "View/Open files list"

2005-04-06 04:47  rickg22

svn0255:  * Fixed bug in Files Tree that didn't show files
	  created with "new"
	  * FIXED THE ANNOYING FLICKER WHEN OPENING PROJECTS!! :D

2005-04-05 11:31  mandrav

svn0254:  * Fixed generated Makefile to use relative paths for
	  object and deps directories (bug #1175490)

2005-04-05 11:14  mandrav

svn0253:  * Fixed bug with spaces in link library filename
	  (#1167228)

2005-04-05 11:02  mandrav

svn0252:  * Added deps calculation speed-up patches, contributed
	  by Tim Baker (patch #1174658, #1174545)

2005-04-05 10:50  mandrav

svn0251:  * Added MSVC7Loader patches contributed by Tim Baker
	  (patch #1174519)
	  * Fixed wrong importing of AdditionalIncludeDirectories from
	  .vcproj files (bug #1173826)

2005-04-05 09:49  mandrav

svn0250:  * Added configuration entry for selections in editor
	  colors configuration (RFE #1175452)

2005-04-05 09:24  mandrav

svn0249:  * Added "View whitespace" editor option to display
	  spaces and tabs (RFE #1174716)

2005-04-05 04:17  rickg22

svn0248:  * Fixed critical bug where some project files were
	  deleted on "clean".

2005-04-05 04:12  rickg22

svn0247:  * Removed output filename "codeblocks.cbp" from
	  non-compilable targets. (TODO: Need to find out how it was added
	  there in the first place)

2005-04-04 05:14  rickg22

svn0246:  + Added notice that says "Find in files not implemented
	  yet".

2005-04-04 04:20  rickg22

svn0245:  * Fixed tiny bug that made the File Tree disappear if
	  the sash was moved to the top.

2005-04-04 03:41  rickg22

svn0244:  + Added drag and drop support for opening files - send
	  bug reports to rick_g22.

2005-04-03 22:07  rickg22

svn0243:  + Added auxiliary wxWidget classes for the SDK.
	  (xtra_classes.cpp - add your own classes here)

2005-04-03 22:05  rickg22

svn0242:  Moved Files Tree into separate pane

2005-04-01 13:48  mandrav

svn0241:  * Semi-fix for "early-shutdown-crash" bug (related to
	  code-completion plugin)

2005-04-01 07:23  rickg22

svn0240:  * Fixed bug in Editor Tree when renaming files
	  * Cleaned up code in Editor Tree stuff (editormanager)

2005-03-31 12:16  mandrav

svn0239:  * Fixed one reason for the "early-shutdown-crash" bug
	  (the other is code-completion - still under investigation)

2005-03-31 08:31  mandrav

svn0238:  * Fixed GDB filenames compatibility in debugger plugin
	  * When adding a new file in a project, checks are made that it's
	  not already a member
	  * Fixed MS Visual Studio project file importer to correctly
	  recurse project files
	  * Fixed bug in update UI events introduced with the addition of
	  opened files list

2005-03-31 07:37  rickg22

svn0237:  + New feature: Editor Tree above the project tree. With
	  one click you can switch between files.
	  (Also shows which files are modified)

2005-03-27 17:53  rickg22

svn0236:  * Fixed bug #1167477: "Save all projects" resets the
	  workspace name

2005-03-27 07:48  rickg22

svn0235:  * Fixed bug 1167913 (cancel button on close
	  misbehavior)

2005-03-27 07:40  rickg22

svn0234:  * Fixed bug #1167913 (Save project cancel button
	  doesn't work).
	  * Also, modified the workspace/project/files closing process.
	  Before closing ANYTHING, it checks EVERYTHING for modifications
	  and asks user to save. This way, if the user presses "cancel",
	  the state of the workspace is not modified at all.

2005-03-26 21:32  rickg22

svn0233:  "Cancel" button on close now works when project is
	  modified. However this is a temporary fix (see notes)

2005-03-26 20:40  rickg22

svn0232:  + Added stop button to compiler toolbar
	  * Converted debugger plugin to XRC

2005-03-26 06:45  rickg22

svn0231:  * Fixed "disappearing-combo" bug in toolbar

2005-03-26 02:59  rickg22

svn0230:  + Included copying of manifest file in update.bat

2005-03-26 02:57  rickg22

svn0229:  * Moved manifest file to /src/setup

2005-03-26 02:52  rickg22

svn0228:  * Moved winxp manifest file to /src/setup

2005-03-26 02:48  rickg22

svn0227:  + manager.cpp: Added menu,toolbar and xrc auxiliary
	  functions
	  * compilergcc.cpp: Simplified menu and toolbar building process

2005-03-26 01:08  rickg22

svn0225:  * Minor aesthetic update (hides splash screen before
	  showing main window)

2005-03-22 15:10  mandrav

svn0223:  * Fixed environment variables substitution in MS VS
	  project importer

2005-03-21 21:33  rickg22

svn0222:  * Converted Main and Compiler Menus to XRC.
	  * Added "Compiler options" in compiler menu (xrc)
	  * Copied some project options to File Menu (xrc)
	  * Fixed ugly non-space in compiler toolbar
	  * Synced compiler target tool's xrc id with source

2005-03-19 12:59  mandrav

svn0220:  * Fixed win32 Makefile

2005-03-19 12:35  mandrav

svn0219:  * Updated unix project file and Makefile.unix
	  * Updated ChangeLog for beta6

2005-03-19 11:39  mandrav

svn0218:  * Fixed MinGW auto-detection to search in app path too
	  * Fixed debugger to respect the debugger setting of the compiler

2005-03-19 10:49  mandrav

svn0217:  * Bug-fix: when changing toolbar size in environment
	  settings, the compiler's build target combobox would be cleared

2005-03-19 10:40  mandrav

svn0216:  * Finally fixed (really!) the issue with the initial
	  output filename for new projects (was wrong in many cases)
	  * Updated the "install compiler" readme file
	  * Minor updates regarding the release of beta6 (version, authors,
	  etc)

2005-03-19 09:51  mandrav

svn0215:  * Added "wildcard selection" and "toggle selection"
	  functionality in GenericMultiSelectDlg
	  * Fixed loading/saving state of the layout
	  * Updated all available templates to the current project format

2005-03-18 21:23  mandrav

svn0214:  * Improved workspaces handling
	  * Workspaces are now added to recent files
	  * Fixed compiler's "target" combobox disappearing (visual glitch)
	  * Removed debugger's toolbar (until dockable toolbars are
	  implemented)
	  * Added elementary debugger options dialog

2005-03-17 17:09  mandrav

svn0213:  * Fixed: files/paths names loaded from project file,
	  are converted to OS-accepted paths/files

2005-03-17 14:54  mandrav

svn0212:  * When adding a new ToDo item, the last used type is
	  remembered now.

2005-03-17 14:48  mandrav

svn0211:  * Fixed "debugger program not remembered" bug

2005-03-16 16:41  mandrav

svn0210:  * Fixed erroneous substitution for $static_output macro

2005-03-16 11:32  mandrav

svn0209:  * Added "new" and "delete" buttons in
	  defaultmimehandler settings dialog.

2005-03-15 19:06  mandrav

svn0208:  * Fixed main toolbar (accidentally had toggle buttons)

2005-03-15 16:50  mandrav

svn0207:  * Fixed bug where new projects' default target wouldn't
	  have an output filename

2005-03-15 13:28  mandrav

svn0206:  * Fixed "tatic_output" bug when working with GNU "make"
	  and static libraries
	  * Updated win32 Makefile

2005-03-15 09:36  mandrav

svn0205:  * Re-designed the "Import/Export settings" dialog

2005-03-14 19:07  mandrav

svn0204:  * Updated files for succesfull linux compilation

2005-03-14 09:47  mandrav

svn0203:  * Fixed filenames handling/conversion throughout the
	  project. It should be much more consistent now.
	  * Added default MIME handler plugin (to open unknown types of
	  files in the project tree).
	  * Fixed generated Makefile to treat output directories the same
	  as direct-mode.
	  * Added more GCC compiler options.
	  * Added version numbers in the plugins SDK.
	  * Updated plugin wizard to support the latest SDK changes.
	  * Fully documented all types of plugins (sdk/cbplugin.h).
	  * SDK: Added new MessageManager::DebugLogWarning() and
	  MessageManager::DebugLogError() functions.
	  * SDK: Removed cbPlugin::RemoveMenu() and
	  cbPlugin::RemoveToolbar() functions.

2005-03-12 17:58  mandrav

svn0202:  * Disabled on-the-fly plugins enabling/disabling (could
	  crash under certain circumstances)

2005-03-12 16:47  rickg22

svn0201:  * Added sanity checks to project loading functions

2005-03-12 11:09  mandrav

svn0200:  * Fixed some filename issues under linux

2005-03-11 21:42  mandrav

svn0199:  * Fixed a missing command output redirection to
	  /dev/null in linux update script

2005-03-11 21:39  mandrav

svn0198:  * Updated linux project, Makefile.unix and fixed a bug
	  that caused the linux version to crash on exit

2005-03-11 18:53  mandrav

svn0197:  * Fixed small bug in MS Visual Studio project importer

2005-03-11 17:52  mandrav

svn0196:  * Fixed bug #1154511 (devcpp project importer)

2005-03-11 14:51  mandrav

svn0195:  * Added "Static Library" template

2005-03-11 14:25  mandrav

svn0194:  * Fixed bug that would crash on exit, if no plugins
	  were loaded

2005-03-10 16:31  mandrav

svn0193:  * Fixed error in debugger toolbar (not
	  enabling/disabling items)

2005-03-10 14:35  mandrav

svn0192:  * Improved MSVC 6 project file importer - supports
	  selection of multiple configurations to import
	  * Added importer for MSVC 6 workspaces (*.dsw)
	  * Added importer for MS Visual Studio solutions (*.sln)
	  * Updated MSVC compiler regex's

2005-03-10 13:49  rickg22

svn0191:  + Added XRC resources for debugger toolbar

2005-03-09 18:51  mandrav

svn0190:  * Added color output in compiler messages list

2005-03-09 17:40  mandrav

svn0189:  * Fixed a just-introduced bug in workspace management

2005-03-09 16:43  mandrav

svn0188:  * Conversion to use cbWorkspace, done

2005-03-09 15:14  mandrav

svn0187:  * Created new SDK class: cbWorkspace (to give it some
	  more features than save/load only) - WIP

2005-03-09 10:14  mandrav

svn0186:  * Added "Toolbar iscons size" setting in environment
	  settings dialog.
	  * Fixed compiler's and debugger's menu removal
	  * Removed plugins menu removal from application shut down
	  procedure (the menus are all freed upon app exit).

2005-03-09 04:59  rickg22

svn0185:  * Turned projectmanager::BuildMenu() into static
	  function.

2005-03-08 22:48  mandrav

svn0184:  * Fixed a small compiler plugin menu bug
	  * Re-added the missing project menu entries
	  * Added some extra clean-up code in main app (maybe it gets rid
	  of the crashes some people experience on exit)

2005-03-08 15:01  mandrav

svn0183:  * Bug-fix in setting breakpoints *before* debugger
	  launch.

2005-03-08 13:50  rickg22

svn0182:  Replaced static vars with heap vars in config manager
	  (fixes crash on end)

2005-03-08 07:50  mandrav

svn0181:  * Updated Makefile (Makefile.unix still needs update).
	  * Updated MS Visual Studio project loader to use the project's
	  compiler for all targets it creates.

2005-03-06 23:53  mandrav

svn0180:  * Fixed MS Visual Studio project importer. Imports
	  multiple configurations and correctly substitutes MSVC macros.
	  Almost perfect now :)

2005-03-06 23:22  rickg22

svn0179:  Added credits (or blames) of code changes for future
	  references

2005-03-06 23:13  rickg22

svn0178:  Fixed annoying warnings in Astyle plugin. (was using
	  signed integers in various for loops)

2005-03-06 19:20  rickg22

svn0177:  Added sanitycheck.h to project

2005-03-06 19:15  rickg22

svn0176:  Fixed node segfault on a couple of managers that use
	  linked lists.
	  Added "sanity check" to all manager classes (checks for
	  self==NULL before doing anything)
	  Added Manager::isappShuttingDown() function that helps in
	  Manager::Free().

2005-03-06 19:08  rickg22

svn0175:  Added debugging help lines for Win32 platform. Requires
	  directive __CBDEBUG__ and to be compiled as "console app" to
	  work.

2005-03-05 20:55  rickg22

svn0174:  Fixes segfault on early exit

2005-03-05 20:49  rickg22

svn0173:  Fixed segfault on early shutdown

2005-03-05 20:42  rickg22

svn0172:  Fixes segfault on early exit.

2005-03-05 20:33  rickg22

svn0171:  Fixes early shutdown crash. WARNING: Bug chains to ALL
	  *_manager classes. They need fixes, too!

2005-03-05 20:13  rickg22

svn0170:  GetActiveEditor() Returns NULL instead of generating a
	  segfault

2005-03-05 13:33  rickg22

svn0169:  Fixed memory leak caused by popup menu fix (just added
	  a delete at the end)

2005-03-05 06:14  rickg22

svn0168:  Fixed bug [ 1096905 ] Crash under FC2.
	  (Lesson learned: Don't use stack variables for menus. They get
	  destroyed after function call - on menu closing they get
	  destroyed again, possibly generating a segfault)

2005-03-05 05:24  rickg22

svn0167:  Modified to load plugins from SDK.
	  Fixed the dreaded "DoClearTargetMenu()" bug (fix must've been
	  lost in CVS somewhere)

2005-03-05 05:20  rickg22

svn0166:  moved "xtra_res" files to the SDK. And added copyright
	  notice (they were adapted from the wxxrc library)

2005-03-04 20:56  rickg22

svn0165:  Main toolbar in XRC

2005-03-04 10:57  rickg22

svn0164:  Moved Compiler plugin toolbar into XRC resources. The
	  resources are loaded with the new class wxXMLToolBarAddOnHandler
	  (xtra_res.cpp).
	  Converted id's in main.cpp into XRCID("idName") for future
	  conversions.

2005-03-04 04:13  rickg22

svn0163:  Removed output/share directory from the project

2005-03-04 03:42  rickg22

svn0162:  Output directory tree is unnecessary to be in CVS.
	  "update" shell scripts will take care of creating it from now on.

2005-03-04 03:29  rickg22

svn0161:  Updated scripts create output directory tree.
	  Additionally, they create resource trees inside zip files (for
	  use with XRC)

2005-03-03 22:12  mandrav

svn0160:  * Temporary fix for Linux compilation
	  * Updated Makefile.unix

2005-03-03 05:07  rickg22

svn0159:  16x16 and normal icons in plugin. These will make it
	  into the plugin's resources zip file (see "update" makefile).

2005-03-03 05:03  rickg22

svn0158:  16x16 icons in main

2005-03-03 02:20  rickg22

svn0157:  16x16 icons in plugin. Additionally, all future icons
	  will go under plugins/*/resources/images

2005-03-03 02:11  rickg22

svn0156:  16x16 icons in plugin. Additionally, all future icons
	  will go under plugins/*/resources/images

2005-03-03 01:57  rickg22

svn0155:  New directory for 16x16 icons

2005-03-02 10:19  mandrav

svn0154:  * Fixed bug #1149964 (various To-Do bugs)

2005-03-02 09:18  mandrav

svn0153:  * Fixed bug #1153876 (click on compiler error in
	  listbox, doesn't always open the file in the editor)

2005-03-01 14:52  mandrav

svn0152:  * Debugging watches are correctly parsed now
	  * Added default debugging watches locals and function args
	  * Added word-under-the-mouse debugger evaluation
	  * Fixed bug in CodeBlocksEvent copy ctor

2005-02-25 10:43  mandrav

svn0151:  * Optimized dependencies calculations in direct build
	  mode (70% - 90% less time needed!)
	  * Updated win32 Makefile

2005-02-24 15:03  mandrav

svn0150:  * Projects now "remember" their active build target
	  * Fixed debugger bugs with multi-build-target projects

2005-02-24 10:54  mandrav

svn0149:  * Added environment option "Check for externally
	  modified files"
	  * Fixed editor bug with new files not correctly assigned to
	  active project (if selected so)

2005-02-23 15:23  mandrav

svn0148:  * Fixed bug in build output directory creation
	  * Objects and dependencies output directories are now global (not
	  in the same directory as the source file) - less source clutter
	  :)
	  * Speeded up projects tree updating
	  * Added button to toggle marked files in project options dialog
	  (assign files to target listbox)
	  * Updated workspaces to work with relative project filenames

2005-02-22 23:15  mandrav

svn0147:  * Fixed buggy GetArrayFromString()
	  * Fixed dev-cpp importer

2005-02-22 15:00  mandrav

svn0146:  * Fixed dynamic library compiler command for MinGW
	  * Fixed bug in "Compile'n'Run" option when a target was selected
	  in the targets listbox
	  * Updated direct build mode to create object and dependenciy
	  directories recursively

2005-02-21 14:54  mandrav

svn0145:  Fixed a compiler warning

2005-02-19 11:55  mandrav

svn0144:  * Updated SDK doxygen filename in "doc" target

2005-02-19 11:53  mandrav

svn0143:  * When adding files to a project, multiple target
	  selection is allowed
	  * Added documentation to ProjectManager
	  * Add new target "doc" to build SDK documentation using doxygen
	  * Fixed bug in $static_output macro replacement in compiler
	  commands

2005-02-18 14:03  mandrav

svn0142:  * Updated C-source templates to create .c files instead
	  of .cpp

2005-02-18 13:59  mandrav

svn0141:  * Fixed bug that when creating new project from
	  template, the default compiler was not used for the build targets

2005-02-18 13:50  mandrav

svn0140:  * Added "Add files" and "Remove files" in Project menu

2005-02-17 22:37  mandrav

svn0139:  * Fixed a minor bug in the GCC compiler command lines

2005-02-17 12:43  mandrav

svn0138:  * Fixed bug #1124251

2005-02-17 12:19  mandrav

svn0137:  * Added "Reset defaults" button for compiler in
	  compiler options to reset all the compiler's settings
	  * Added preprocessor error regex for GCC
	  * Projects/targets now ignore duplicate dirs for compiler/linker
	  * Added Dev-C++ auto-detection for GCC, if MinGW is not detected
	  (win32 only)

2005-02-16 15:09  mandrav

svn0136:  * Fixed bug #1123572 (erroneous dev-cpp project
	  importing)

2005-02-16 14:14  mandrav

svn0135:  * Refactored compiler output parsing into user-defined
	  regular expressions ;)
	  * Fixed small bug in project/target linker options ordering

2005-02-15 12:34  mandrav

svn0134:  * Added linker program setting for static libs, in
	  compiler.
	  * Added compiler command macro "$lib_linker"
	  * Updated compiler commands based on the above
	  * Fixed BCC compiler command for static lib creation
	  * Fixed auto-name suggestion for static libs to respect the
	  compiler's settings

2005-02-15 11:32  mandrav

svn0133:  * Fixed compiler commands for all supported compilers
	  (except BCC's DLL & LIB generation)

2005-02-14 13:47  mandrav

svn0132:  * Added contributors section in about dialog

2005-02-14 13:34  mandrav

svn0131:  * Separated link objects from resource objects macros
	  (needed for BCC and possibly other compilers)
	  * Fixed BCC support (for executables at least)
	  * Added DigitalMars compiler support (thanks to Hakki Dogusan who
	  contributed it)

2005-02-13 22:42  mandrav

svn0130:  * Changed codeblocks version to 1.0-cvs (forgot it
	  earlier...)

2005-02-13 22:39  mandrav

svn0129:  * Added message for project file update to newer
	  version

2005-02-13 22:19  mandrav

svn0128:  * Separated linker libraries from the rest of the
	  linker options. Codeblocks offers to auto-detect used libraries
	  and configure the project accordingly, if the said project was
	  saved with an earlier version of codeblocks.
	  * Because of the above, the project file format changed subtly
	  (conversion of older projects is done automatically, on first
	  open).

2005-02-12 20:58  mandrav

svn0127:  * Separated link libraries from other linker options in
	  compiler

2005-02-11 15:00  mandrav

svn0126:  * Fixed minor bug in editor color themes switching

2005-02-11 12:38  mandrav

svn0125:  * Fixed configuration expporting to file (importing not
	  implemented yet)
	  * Added menu "Settings/Import-export configuration"

2005-02-10 14:30  mandrav

svn0124:  * Added multiple themes support in editor color options
	  * Support is on the way for importing/exporting various (or all)
	  configuration settings to/from file

2005-02-10 10:00  mandrav

svn0123:  * Fixed editor color configuration
	  * Added "Default" style in editor color configuration
	  * Added "Reset defaults" button in editor color configuration

2005-02-02 12:39  mandrav

svn0122:  * Added editor configuration option for tab size

2005-01-31 14:36  mandrav

svn0121:  * Fixed crash on tree right-clicking in project's build
	  options

2005-01-30 21:09  mandrav

svn0119:  Updated Makefile to add sdk/autodetectcompilers.(cpp |
	  h)

2005-01-30 20:49  mandrav

svn0118:  Updated setup script for version 1.0-beta5

2005-01-30 20:42  mandrav

svn0117:  Updated ChangeLog for version 1.0-beta5

2005-01-30 20:20  mandrav

svn0116:  * Fixed bug that would mess compiler options when
	  continually switching compiler in compiler options
	  * Debugger pops a message if no debugging info in executable

2005-01-30 09:41  mandrav

svn0115:  * Changed the plugins SDK
	  * Corrected plugins loading/unloading on-the-fly
	  * Moved menu entry "Settings/Manage plugins" to "Plugins/Manage
	  plugins"

2005-01-29 11:59  mandrav

svn0114:  * Fixed CompilerMSVC to compile under non-win32
	  platforms

2005-01-29 11:29  mandrav

svn0113:  * PluginWizard now creates a new project for the plugin
	  it generates
	  * Added some developer's documentation in cbProject tree building
	  * Renamed menu entry "Settings/Plugins" to "Settings/Manage
	  plugins" to better reflect its purpose

2005-01-28 18:16  mandrav

svn0112:  * Added option in code-completion to disable SmartSense
	  (provide non context-sensitive matches)

2005-01-28 14:24  mandrav

svn0111:  * Added support for user-templates
	  * Re-organized the "Project" menu to eliminate the clutter

2005-01-28 12:48  mandrav

svn0110:  * Added configuration for default code for new files
	  (in editor settings)

2005-01-28 08:15  mandrav

svn0109:  Bug fix: When creating new source file, it was not
	  added to the current project (by asking the user)

2005-01-26 21:22  mandrav

svn0108:  * When compiling in direct-mode, dependencies are
	  always generated and checked
	  * Fixed compilers auto-detection
	  * Fixed editor issue under Win98 (could not open any file in the
	  editor)
	  * Changed version to 1.0-beta5

2005-01-26 15:02  mandrav

svn0107:  * Fixed bug that recent file history would disappear if
	  plugins were loaded/unloaded
	  * Added "Auto-detect" button in compiler configuration dialog,
	  under "Programs" page
	  * Added "--clear-configuration" command-line option to completely
	  clear all configuration settings (used by the win32 uninstaller)
	  * Added "--no-dde" command-line option to disable DDE server
	  startup under win32
	  * Removed first-run message about compilers (moved to win32
	  setup)
	  * Added some web shortcuts for use by the win32 installer
	  * Added compiler-howto

2005-01-26 11:59  mandrav

svn0106:  Fixed a typo in last commit

2005-01-26 11:40  mandrav

svn0105:  * If a plugin crashes Code::Blocks on activation, when
	  the app is executed again the user is asked to allow the
	  offending plugin's activation

2005-01-25 11:25  mandrav

svn0104:  * MSVC Free Toolkit 2003 is now really auto-detected
	  * Add comment in the build banner for the build mode ("make" or
	  direct)

2005-01-24 12:54  mandrav

svn0103:  * Bug-fix for debugger error message about "working
	  directory needs an argument"
	  * Bug-fix for compiler in direct-build-mode where the last
	  process exit code would persist until a new build

2005-01-23 17:38  mandrav

svn0102:  * Fixed plugins loading/unloading on-the-fly
	  * Changed all error reporting from wxLogError() to
	  wxMessageBox(), so that it appears immediately

2005-01-22 22:25  mandrav

svn0101:  * Fixed direct-build-mode under linux
	  * Fixed default editor font under linux

2005-01-22 12:39  mandrav

svn0100:  * Added binreloc (under unix), to correctly report the
	  executable's
	  full-path filename

2005-01-22 12:07  mandrav

svn0099:  * Updated unix project file and Makefile.unix
	  * Added "update" shell script for unix
	  * Add error handling for some linker errors
	  * Fixed bug that would export Makefile in the wrong dir if
	  direct-build-mode was enabled and the project was not compiled
	  once...
	  ----------------------------------------------------------------------

2005-01-22 11:11  mandrav

svn0098:  * Fixed non-working environment options
	  * Fixed compiling of win32 resource files with the new
	  direct-build-mode

2005-01-20 21:55  mandrav

svn0097:  * Fixed CompileAll and RebuildAll in direct-build-mode
	  * Added menu entries to change project order in project tree

2005-01-19 15:04  mandrav

svn0096:  * Compiler can now be configured per-target (was
	  per-project)

2005-01-18 23:09  mandrav

svn0095:  * Half-implemented user template saving

2005-01-18 15:06  mandrav

svn0094:  * Fixed compilers auto-detection
	  * Added "Set as default" button in compiler options, to set the
	  default compiler for new projects

2005-01-18 12:00  mandrav

svn0093:  * Fixed dependencies generation in direct-mode
	  * Moved "make update" commands to a batch file named update.bat
	  (will add update.sh for unix too)

2005-01-17 22:22  mandrav

svn0092:  * When using "make" for builds, respect logging setting
	  * Partial fix for incomplete dependencies generation in
	  direct-mode
	  * Added code to set the default compiler - no GUI option yet

2005-01-17 14:24  mandrav

svn0091:  Started implementing auto compiler discovery

2005-01-17 13:17  mandrav

svn0090:  * Done with new compiler mode (no GNU "make"
	  requirement)
	  * Minor bug-fixes

2005-01-16 22:31  mandrav

svn0089:  * Removed GNU "make" requirement for the compiler
	  plugin (WIP: ~80% done)
	  * Refined some compiler options (in "Others" tab)
	  * Support for user templates (WIP: ~50% done)

2005-01-10 11:22  mandrav

svn0088:  Fixed support for Borlans's compiler (works now for
	  executables, but not yet for libs and dlls)
	  Added two new options in advanced compiler settings: Force add
	  quotes for filenames in compiler/linker command-lines (needed for
	  BCC)

2005-01-09 12:20  mandrav

svn0087:  Added DLL template

2005-01-06 10:01  mandrav

svn0086:  Updated Makefile.unix and unix project file to create a
	  "run.sh" script
	  under src/output and src/devel. Use this script to launch
	  Code::Blocks

2005-01-04 19:57  mandrav

svn0084:  Updated ChangeLog for version 1.0-beta4

2005-01-04 15:15  mandrav

svn0083:  Final touches before newest version release.

2005-01-03 22:17  mandrav

svn0082:  Fixed some menu entries enabling/disabling as
	  appropriate

2005-01-03 14:59  mandrav

svn0081:  Fixes in MSVC compiler and loader
	  Minor bug-fixes

2005-01-02 22:10  mandrav

svn0080:  Added unix project file (and updated unix Makefile)
	  ----------------------------------------------------------------------

2005-01-02 20:13  mandrav

svn0079:  Added MS Visual Studio import (preliminary)
	  Added reporting of number of warnings/errors on build end
	  When importing a project, the user is asked if compiler/linker
	  options should be converted for GCC
	  When importing a project, the user is asked what compiler to use
	  for the imported project
	  Fixed error reporting for MSVC compiler (should catch all errors
	  now)

2004-12-30 15:00  mandrav

svn0078:  Fixed typo in projectmanager.cpp
	  GCC warnings/errors are now detected properly
	  Double-clicking a warning/error in the "Compiler messages" tab
	  displays a message box with the error (useful for long errors)

2004-12-30 10:27  mandrav

svn0077:  Changes for succesfull compilation under
	  Linux/wxWidgets-2.5.3

2004-12-30 07:59  mandrav

svn0076:  Fixed bug #1092685 - Right clicking in debugger's
	  watches tree (empty space) had no effect

2004-12-29 21:40  mandrav

svn0075:  *** empty log message ***

2004-12-29 13:24  mandrav

svn0074:  Fine-tuned support for MS VC Free Toolkit 2003
	  Added support for Borland C++ Compiler 5.5

2004-12-29 11:02  mandrav

svn0073:  Fixed MS VC Toolkit 2003 integration

2004-12-29 10:06  mandrav

svn0072:  Improved handling of read-only files

2004-12-29 08:30  mandrav

svn0071:  Bug-fix: codeblocks would crash on exit, if the
	  "Symbols" or "Watches" tab was active in the project manager

2004-12-27 11:41  mandrav

svn0070:  Bug-fix in code-completion's plugin tokenizer

2004-12-26 22:10  mandrav

svn0069:  Added preliminary VC Toolkit 2003 compiler support

2004-12-25 23:25  mandrav

svn0068:  Object and dependencies output directory is now
	  configurable per build-target (defaults to ".objs" and ".deps"
	  respectively)

2004-12-24 15:52  mandrav

svn0067:  Custom makefiles are now supported (makefile will not
	  be auto-generated)
	  Bug-fix: compile single-file was not working after some changes
	  in the makefile generation

2004-12-24 15:17  mandrav

svn0066:  Removed obsolete folder 'www' (used when the project
	  was hosted by tigris.org)

2004-12-19 14:14  mandrav

svn0065:  Bug-fix in class wizard plugin
	  All dependencies are generated in a subfolder .deps and all
	  object files are generated in a subfolder .objs (to make source
	  dir stay clean)
	  Makefile is now generated correctly (even with filenames with
	  spaces -- all cases). Got to check it under Linux...
	  Code::Blocks is now built and distributed with wxWidgets 2.4.2

2004-12-18 20:09  mandrav

svn0064:  Fixed bug in setup script that wouldn't install main
	  executable if no shortcut was requested
	  Many bug-fixes relating to crashes on exit
	  Fixed bug that wouldn't allow changing of compiler's master path
	  Fixed bug in win32 generated makefile
	  Changed default color for C++ keywords and default gutter color
	  in editor
	  Added manifest file to adopt win XP look and feel under, err, XP
	  ;)

2004-12-18 09:40  mandrav

svn0063:  Bug-fix in generated Makefile plus some other minor
	  bug-fixes

2004-12-18 09:08  mandrav

svn0062:  Improved file association handling on startup. Asks
	  before establishing associations.
	  CVS:
	  ----------------------------------------------------------------------
	  CVS: Issue number:
	  CVS: If this change addresses one or more issues,
	  CVS: then enter the issue number(s) here.
	  CVS: Obtained from:
	  CVS: If this change has been taken from another system,
	  CVS: then name the system in this line, otherwise delete it.
	  CVS: Submitted by:
	  CVS: If this code has been contributed to the project by someone
	  else; i.e.,
	  CVS: they sent us a patch or a set of diffs, then include their
	  name/email
	  CVS: address here. If this is your work then delete this line.
	  CVS: Reviewed by:
	  CVS: If we are doing pre-commit code reviews and someone else has
	  CVS: reviewed your changes, include their name(s) here.
	  CVS: If you have not had it reviewed then delete this line.

2004-11-29 21:41  mandrav

svn0061:  Compiler: Minor bug-fixes
	  Compiler: Improved generated Makefile
	  Code-completion: Now compiles and runs under Linux (kind of...);
	  still
	  needs work to become stable
	  General: UI updates + minor bug fixes

2004-11-18 22:44  mandrav

svn0060:  Fixed debugger process hanging under Linux (same
	  problem as the compiler
	  used to have)

2004-11-07 11:26  mandrav

svn0059:  More Unix compilation updates and some bug-fixes

2004-11-06 23:19  mandrav

svn0058:  Dependencies are now generated in a .deps subdirectory

2004-10-31 22:04  mandrav

svn0057:  Temporary fix for crash under wxGTK when editor's popup
	  menu hides (introduced mem-leak now, I 'm afraid)
	  XRC resource updates

2004-10-31 10:52  mandrav

svn0056:  Linux version fixes:
	  Fixed hang on compiler process end. Remains to do the same for
	  the debugger.
	  Also fixed a bug in the editor color sets (themes).
	  The Linux version is starting to stabilize :)

2004-10-29 14:08  mandrav

svn0055:  Added Makefile.unix for unix builds. Finally compiles
	  under Linux. Tested under Debian unstable.
	  Small updates to make code compile under Linux.

2004-10-24 08:21  mandrav

svn0054:  Templates: Fixed bug that on creating a new project
	  from template, would overwrite any existing files without asking
	  for permission.

2004-10-22 16:18  mandrav

svn0053:  Compiler: Bug-fix in compiler options (duplicated
	  -lgmon each time the "Build options" dialog was visited)
	  Compiler: Bug-fix for profiling compiler option (wouldn't add -pg
	  in linker options)

2004-10-13 21:36  mandrav

svn0052:  Added meta-keywords in index.html

2004-10-06 18:10  mandrav

svn0050:  Updated for version 1.0-beta3

2004-10-06 17:12  mandrav

svn0049:  * Added exchndl.dll to report fatal exceptions (win32
	  only)
	  * Prepared setup file for version 1.0beta3
	  * Added new setup images

2004-09-30 21:54  mandrav

svn0048:  Cumulative commit featuring the following updates:
	  
	  * Code-completion plugin: Fixed bug that would skip "extern "C"
	  {}" blocks
	  * Compiler GCC plugin: If compilation errors occur, jump to
	  first/next error, not warning (if there are any)
	  * Core: Introduced "Project/Import/Visual C++ project" option
	  * Compiler GCC plugin: Generated Makefile doesn't complain
	  anymore when the same project file is included in multiple
	  targets
	  * ClassWizard plugin: Change current directory to project's base
	  path (if a project is open) before generating the new class
	  * Debugger GDB plugin: Switch to output directory before start of
	  debugging session
	  * Code-completion plugin: Make sure preprocessor definitions are
	  not stored under namespaces or classes
	  * Code-completion plugin: Many updates and bug-fixes regarding
	  code-completion using namespaces
	  * Project manager: Added "Project/Activate Prior" and
	  "Project/Activate Next" for easy navigation between open projects
	  * Project manager: Added "File/Properties" for active editor
	  * Project manager: Changed "Project/Properties" to display the
	  project properties (it would display the selected file's
	  properties in the project tree, if one was selected)
	  * Project manager: Fixed "Build Options" tree context menu entry,
	  to display the build options for the "clicked" project
	  * Tools manager: Bug-fix (didn't substitute macros)
	  * Code-completion plugin: Fix crash if namespace was used in
	  class inheritance
	  * Code-completion plugin: Fix superfluous namespaces in class
	  browser

2004-06-14 07:29  mandrav

svn0047:  + cbPlugin interface change: cbPlugin::Release() and
	  cbPlugin::OnRelease() changed to cbPlugin::Release(bool
	  appShutDown) and cbPlugin::OnRelease(bool appShutDown)
	  respectively. Fixes app crashes during shutdown. See
	  documentation for details.
	  + Added sdk/managerproxy.h and sdk/managers/* to the project.
	  + Fixed small glitch in (un)commenting code.

2004-06-11 04:22  mhaggag

svn0046:  * Minor bug fixes
	  * Reduced the number of memory leaks a little :)
	  * No longer using relative include paths for plugins
	  * Plugin classes no longer exported
	  * Minor code cleanup
	  * Created VC6 projects for all plugins

2004-06-05 09:59  mandrav

svn0045:  + Fixed multiple-undo-needed for reverting
	  (un)commented code.
	  + Added (un)comment menu item in UpdateUI code so that it's
	  enabled/disabled accordingly.
	  + Added shortcut for (un)commenting: Shift-Ctrl-C (btw, we have
	  to somehow make shortcuts configurable)
	  + Fixed project file (and Makefile) to have the wx library
	  version as a variable.

2004-06-04 22:12  mhaggag

svn0044:  * Added VC++6 workspaces/project files for cb. Plugins
	  not done yet, though.
	  * Added "Comment/Uncomment Selection"
	  * Fixed XRC Resource Loading Issue (under wx2.4.2)
	  * Fixed "Checking Uncheckable Item" assertions
	  * Changed absolute include paths to relative include paths (no
	  need to set the SDK path
	  in the include paths when relative addressing does the job)

2004-06-02 09:14  mandrav

svn0043:  Bug-fix on last enhancement (check externally modified
	  files)

2004-06-02 09:04  mandrav

svn0042:  Added menu items under "Edit/End-of-line mode" to set
	  the editor's EOL mode.

2004-06-02 09:03  mandrav

svn0041:  Enhancement: Detect file modifications outside the IDE
	  and ask to reload file.

2004-06-02 09:01  mandrav

svn0040:  Made AStyle plugin to respect EOL mode of editor.

2004-06-02 09:00  mandrav

svn0039:  Bug-fix: under some special cases file would appear
	  modified when it was not.
	  Enhancement: Detect file modifications outside the IDE and ask to
	  reload file.

2004-05-28 07:56  mandrav

svn0038:  Bug-fix: some files would appear to be modified when
	  they shouldn't be (and they actually weren't).

2004-05-28 07:54  mandrav

svn0037:  + Updated fullscreen mode to save panes' visibility
	  state before switching and restoring it afterwards. Also updated
	  View menu items to be synchronized with the current view state.
	  + Added panes' visibility state in Save/LoadWindowState.

2004-05-28 07:18  mandrav

svn0036:  Modifications by MHaggag:
	  + Added fullscreen support under "View/Fullscreen".
	  + Fixed bottom sash problem.
	  + Fix "Goto line" dialog.

2004-05-25 10:58  mandrav

svn0035:  Bug-fix in new AStyle plugin (already?): it would eat
	  empty lines...

2004-05-25 10:39  mandrav

svn0034:  Added new plugin: AStyle source formatter

2004-05-21 12:59  mandrav

svn0033:  Fixed a typo in tips.txt (thanks Muhammad).
	  Implemented "Properties" item in editor's popup menu.
	  Added "Properties" and "Build options" in project menu.
	  Updated cbEditor::Open() to associate the correct ProjectFile
	  with the editor.

2004-05-17 08:14  mandrav

svn0032:  Updated/added compiler's popup menu entries in
	  ProjectManager.
	  ProjectManager now calls plugins to add popup menu items on
	  empty-space right-click too.

2004-05-16 20:56  mandrav

svn0031:  Bug-fix: when compiling/rebuilding all projects, the
	  command queue wouldn't clear properly.
	  Debugger: make known all open projects to debugger (for cases
	  where the user has a library open along with an app that uses
	  that library)
	  Compiler: Display a warning before "Rebuild all projects"

2004-05-16 11:05  mandrav

svn0030:  Added ExternalDeps property in ProjectBuildTarget. This
	  way a target can be dependent on an external file (a static lib
	  for example).
	  Added a helper dialog EditArrayFileDlg. It works like
	  EditArrayStringDlg but provides a file selector.
	  In src/globals.h APP_VERSION now is defined as 1.0 while another
	  definition APP_ACTUAL_VERSION is 1.0-cvs. Changed it so that
	  config settings don't reset every new release (although a formula
	  for transferring settings should be established).

2004-05-14 21:44  mandrav

svn0028:  Updated setup file for version 1.0-beta2

2004-05-14 18:01  mandrav

svn0027:  Updated ChangeLog for version 1.0-beta2

2004-05-14 13:11  mandrav

svn0026:  Updated setup file for 1.0-beta2

2004-05-14 12:43  mandrav

svn0025:  * A couple of small bug-fixes in compiler plugin
	  * Disabled use of "Settings/Plugins" menu item (plugins
	  enable/disable)
	  * Increased version to 1.0-beta2

2004-05-14 11:08  mandrav

svn0024:  * Added "File/Save workspace" to select workspace
	  filename instead of always using the default workspace
	  * Added file association for workspaces

2004-05-14 10:27  mandrav

svn0023:  * Added a tips dialog to show on start-up and under
	  Help/Tips
	  * Added tips.txt file (one tip per-line; to disable a tip put a #
	  at the start of the line)
	  * Commented out a #pragma directive in sdk/tinyxml/tinystr.h to
	  stop a gcc warning

2004-05-14 09:24  mandrav

svn0022:  Fixed a nasty UI glitch where the MessageManager logs
	  would not be correctly laid out until *manually* resizing
	  MessageManager

2004-05-14 07:43  mandrav

svn0021:  * Bug-fix: Tools menu messed-up after "configure tools"
	  * Added new class MenuItemsManager to manage menu items for
	  classes that need to add menu items and then remove them
	  (ToolsManager, plugins etc)

2004-05-11 13:48  mandrav

svn0020:  [Compiler]: generated Makefile contains code to
	  automatically create the target dirs (if they do not exist)

2004-05-11 12:37  mandrav

svn0019:  Fixed some UI-related behaviour in project options
	  dialog

2004-05-11 11:33  mandrav

svn0018:  Bug-fix: crash on global compiler options add/edit dirs

2004-05-11 07:51  mandrav

svn0017:  Bug-fix: global compiler settings, add compiler dir
	  crash

2004-05-10 14:06  mandrav

svn0016:  Bug-fix for the last commit :(

2004-05-10 11:23  mandrav

svn0015:  Project file and Makefile updated

2004-05-10 11:18  mandrav

svn0014:  Changed ToDo plugin interface: it now adds a tab in the
	  bottom view

2004-05-10 08:26  mandrav

svn0013:  Update symbols browser images

2004-05-10 07:35  mandrav

svn0012:  Changed namespaces display in symbols browser

2004-05-09 20:07  mandrav

svn0011:  Bug fix: wrong relative filename for private resources

2004-05-09 20:01  mandrav

svn0010:  Added forgotten files

2004-05-09 12:42  mandrav

svn0008:  Added some missing images

2004-05-09 12:32  mandrav

svn0007:  Added forgotten file src_private.rc
	  Updated Makefile

2004-05-09 11:53  mandrav

svn0006:  Removed useless README.txt from CVS

2004-05-09 11:51  mandrav

svn0005:  Initial import of project

2004-05-09 10:37  mandrav

svn0004:  Added Handbook link in index.html

2004-05-09 10:34  mandrav

svn0003:  Updated initial index.html

2004-05-09 08:56  httpd

svn0002:  Initial data for the codeblocks project

2004-05-09 08:56  

svn0001:  New repository initialized by cvs2svn.