1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540 541 542 543 544 545 546 547 548 549 550 551 552 553 554 555 556 557 558 559 560 561 562 563 564 565 566 567 568 569 570 571 572 573 574 575 576 577 578 579 580 581 582 583 584 585 586 587 588 589 590 591 592 593 594 595 596 597 598 599 600 601 602 603 604 605 606 607 608 609 610 611 612 613 614 615 616 617 618 619 620 621 622 623 624 625 626 627 628 629 630 631 632 633 634 635 636 637 638 639 640 641 642 643 644 645 646 647 648 649 650 651 652 653 654 655 656 657 658 659 660 661 662 663 664 665 666 667 668 669 670 671 672 673 674 675 676 677 678 679 680 681 682 683 684 685 686 687 688 689 690 691 692 693 694 695 696 697 698 699 700 701 702 703 704 705 706 707 708 709 710 711 712 713 714 715 716 717 718 719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743 744 745 746 747 748 749 750 751 752 753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784 785 786 787 788 789 790 791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806 807 808 809 810 811 812 813 814 815 816 817 818 819 820 821 822 823 824 825 826 827 828 829 830 831 832 833 834 835 836 837 838 839 840 841 842 843 844 845 846 847 848 849 850 851 852 853 854 855 856 857 858 859 860 861 862 863 864 865 866 867 868 869 870 871 872 873 874 875 876 877 878 879 880 881 882 883 884 885 886 887 888 889 890 891 892 893 894 895 896 897 898 899 900 901 902 903 904 905 906 907 908 909 910 911 912 913 914 915 916 917 918 919 920 921 922 923 924 925 926 927 928 929 930 931 932 933 934 935 936 937 938 939 940 941 942 943 944 945 946 947 948 949 950 951 952 953 954 955 956 957 958 959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975 976 977 978 979 980 981 982 983 984 985 986 987 988 989 990 991 992 993 994 995 996 997 998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027 1028 1029 1030 1031 1032 1033 1034 1035 1036 1037 1038 1039 1040 1041 1042 1043 1044 1045 1046 1047 1048 1049 1050 1051 1052 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063 1064 1065 1066 1067 1068 1069 1070 1071 1072 1073 1074 1075 1076 1077 1078 1079 1080 1081 1082 1083 1084 1085 1086 1087 1088 1089 1090 1091 1092 1093 1094 1095 1096 1097 1098 1099 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 1133 1134 1135 1136 1137 1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 1148 1149 1150 1151 1152 1153 1154 1155 1156 1157 1158 1159 1160 1161 1162 1163 1164 1165 1166 1167 1168 1169 1170 1171 1172 1173 1174 1175 1176 1177 1178 1179 1180 1181 1182 1183 1184 1185 1186 1187 1188 1189 1190 1191 1192 1193 1194 1195 1196 1197 1198 1199 1200 1201 1202 1203 1204 1205 1206 1207 1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 1223 1224 1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 1238 1239 1240 1241 1242 1243 1244 1245 1246 1247 1248 1249 1250 1251 1252 1253 1254 1255 1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 1268 1269 1270 1271 1272 1273 1274 1275 1276 1277 1278 1279 1280 1281 1282 1283 1284 1285 1286 1287 1288 1289 1290 1291 1292 1293 1294 1295 1296 1297 1298 1299 1300 1301 1302 1303 1304 1305 1306 1307 1308 1309 1310 1311 1312 1313 1314 1315 1316 1317 1318 1319 1320 1321 1322 1323 1324 1325 1326 1327 1328 1329 1330 1331 1332 1333 1334 1335 1336 1337 1338 1339 1340 1341 1342 1343 1344 1345 1346 1347 1348 1349 1350 1351 1352 1353 1354 1355 1356 1357 1358 1359 1360 1361 1362 1363 1364 1365 1366 1367 1368 1369 1370 1371 1372 1373 1374 1375 1376 1377 1378 1379 1380 1381 1382 1383 1384 1385 1386 1387 1388 1389 1390 1391 1392 1393 1394 1395 1396 1397 1398 1399 1400 1401 1402 1403 1404 1405 1406 1407 1408 1409 1410 1411 1412 1413 1414 1415 1416 1417 1418 1419 1420 1421 1422 1423 1424 1425 1426 1427 1428 1429 1430 1431 1432 1433 1434 1435 1436 1437 1438 1439 1440 1441 1442 1443 1444 1445 1446 1447 1448 1449 1450 1451 1452 1453 1454 1455 1456 1457 1458 1459 1460 1461 1462 1463 1464 1465 1466 1467 1468 1469 1470 1471 1472 1473 1474 1475 1476 1477 1478 1479 1480 1481 1482 1483 1484 1485 1486 1487 1488 1489 1490 1491 1492 1493 1494 1495 1496 1497 1498 1499 1500 1501 1502 1503 1504 1505 1506 1507 1508 1509 1510 1511 1512 1513 1514 1515 1516 1517 1518 1519 1520 1521 1522 1523 1524 1525 1526 1527 1528 1529 1530 1531 1532 1533 1534 1535 1536 1537 1538 1539 1540 1541 1542 1543 1544 1545 1546 1547 1548 1549 1550 1551 1552 1553 1554 1555 1556 1557 1558 1559 1560 1561 1562 1563 1564 1565 1566 1567 1568 1569 1570 1571 1572 1573 1574 1575 1576 1577 1578 1579 1580 1581 1582 1583 1584 1585 1586 1587 1588 1589 1590 1591 1592 1593 1594 1595 1596 1597 1598 1599 1600 1601 1602 1603 1604 1605 1606 1607 1608 1609 1610 1611 1612 1613 1614 1615 1616 1617 1618 1619 1620 1621 1622 1623 1624 1625 1626 1627 1628 1629 1630 1631 1632 1633 1634 1635 1636 1637 1638 1639 1640 1641 1642 1643 1644 1645 1646 1647 1648 1649 1650 1651 1652 1653 1654 1655 1656 1657 1658 1659 1660 1661 1662 1663 1664 1665 1666 1667 1668 1669 1670 1671 1672 1673 1674 1675 1676 1677 1678 1679 1680 1681 1682 1683 1684 1685 1686 1687 1688 1689 1690 1691 1692 1693 1694 1695 1696 1697 1698 1699 1700 1701 1702 1703 1704 1705 1706 1707 1708 1709 1710 1711 1712 1713 1714 1715 1716 1717 1718 1719 1720 1721 1722 1723 1724 1725 1726 1727 1728 1729 1730 1731 1732 1733 1734 1735 1736 1737 1738 1739 1740 1741 1742 1743 1744 1745 1746 1747 1748 1749 1750 1751 1752 1753 1754 1755 1756 1757 1758 1759 1760 1761 1762 1763 1764 1765 1766 1767 1768 1769 1770 1771 1772 1773 1774 1775 1776 1777 1778 1779 1780 1781 1782 1783 1784 1785 1786 1787 1788 1789 1790 1791 1792 1793 1794 1795 1796 1797 1798 1799 1800 1801 1802 1803 1804 1805 1806 1807 1808 1809 1810 1811 1812 1813 1814 1815 1816 1817 1818 1819 1820 1821 1822 1823 1824 1825 1826 1827 1828 1829 1830 1831 1832 1833 1834 1835 1836 1837 1838 1839 1840 1841 1842 1843 1844 1845 1846 1847 1848 1849 1850 1851 1852 1853 1854 1855 1856 1857 1858 1859 1860 1861 1862 1863 1864 1865 1866 1867 1868 1869 1870 1871 1872 1873 1874 1875 1876 1877 1878 1879 1880 1881 1882 1883 1884 1885 1886 1887 1888 1889 1890 1891 1892 1893 1894 1895 1896 1897 1898 1899 1900 1901 1902 1903 1904 1905 1906 1907 1908 1909 1910 1911 1912 1913 1914 1915 1916 1917 1918 1919 1920 1921 1922 1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939 1940 1941 1942 1943 1944 1945 1946 1947 1948 1949 1950 1951 1952 1953 1954 1955 1956 1957 1958 1959 1960 1961 1962 1963 1964 1965 1966 1967 1968 1969 1970 1971 1972 1973 1974 1975 1976 1977 1978 1979 1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 2034 2035 2036 2037 2038 2039 2040 2041 2042 2043 2044 2045 2046 2047 2048 2049 2050 2051 2052 2053 2054 2055 2056 2057 2058 2059 2060 2061 2062 2063 2064 2065 2066 2067 2068 2069 2070 2071 2072 2073 2074 2075 2076 2077 2078 2079 2080 2081 2082 2083 2084 2085 2086 2087 2088 2089 2090 2091 2092 2093 2094 2095 2096 2097 2098 2099 2100 2101 2102 2103 2104 2105 2106 2107 2108 2109 2110 2111 2112 2113 2114 2115 2116 2117 2118 2119 2120 2121 2122 2123 2124 2125 2126 2127 2128 2129 2130 2131 2132 2133 2134 2135 2136 2137 2138 2139 2140 2141 2142 2143 2144 2145 2146 2147 2148 2149 2150 2151 2152 2153 2154 2155 2156 2157 2158 2159 2160 2161 2162 2163 2164 2165 2166 2167 2168 2169 2170 2171 2172 2173 2174 2175 2176 2177 2178 2179 2180 2181 2182 2183 2184 2185 2186 2187 2188 2189 2190 2191 2192 2193 2194 2195 2196 2197 2198 2199 2200 2201 2202 2203 2204 2205 2206 2207 2208 2209 2210 2211 2212 2213 2214 2215 2216 2217 2218 2219 2220 2221 2222 2223 2224 2225 2226 2227 2228 2229 2230 2231 2232 2233 2234 2235 2236 2237 2238 2239 2240 2241 2242 2243 2244 2245 2246 2247 2248 2249 2250 2251 2252 2253 2254 2255 2256 2257 2258 2259 2260 2261 2262 2263 2264 2265 2266 2267 2268 2269 2270 2271 2272 2273 2274 2275 2276 2277 2278 2279 2280 2281 2282 2283 2284 2285 2286 2287 2288 2289 2290 2291 2292 2293 2294 2295 2296 2297 2298 2299 2300 2301 2302 2303 2304 2305 2306 2307 2308 2309 2310 2311 2312 2313 2314 2315 2316 2317 2318 2319 2320 2321 2322 2323 2324 2325 2326 2327 2328 2329 2330 2331 2332 2333 2334 2335 2336 2337 2338 2339 2340 2341 2342 2343 2344 2345 2346 2347 2348 2349 2350 2351 2352 2353 2354 2355 2356 2357 2358 2359 2360 2361 2362 2363 2364 2365 2366 2367 2368 2369 2370 2371 2372 2373 2374 2375 2376 2377 2378 2379 2380 2381 2382 2383 2384 2385 2386 2387 2388 2389 2390 2391 2392 2393 2394 2395 2396 2397 2398 2399 2400 2401 2402 2403 2404 2405 2406 2407 2408 2409 2410 2411 2412 2413 2414 2415 2416 2417 2418 2419 2420 2421 2422 2423 2424 2425 2426 2427 2428 2429 2430 2431 2432 2433 2434 2435 2436 2437 2438 2439 2440 2441 2442 2443 2444 2445 2446 2447 2448 2449 2450 2451 2452 2453 2454 2455 2456 2457 2458 2459 2460 2461 2462 2463 2464 2465 2466 2467 2468 2469 2470 2471 2472 2473 2474 2475 2476 2477 2478 2479 2480 2481 2482 2483 2484 2485 2486 2487 2488 2489 2490 2491 2492 2493 2494 2495 2496 2497 2498 2499 2500 2501 2502 2503 2504 2505 2506 2507 2508 2509 2510 2511 2512 2513 2514 2515 2516 2517 2518 2519 2520 2521 2522 2523 2524 2525 2526 2527 2528 2529 2530 2531 2532 2533 2534 2535 2536 2537 2538 2539 2540 2541 2542 2543 2544 2545 2546 2547 2548 2549 2550 2551 2552 2553 2554 2555 2556 2557 2558 2559 2560 2561 2562 2563 2564 2565 2566 2567 2568 2569 2570 2571 2572 2573 2574 2575 2576 2577 2578 2579 2580 2581 2582 2583 2584 2585 2586 2587 2588 2589 2590 2591 2592 2593 2594 2595 2596 2597 2598 2599 2600 2601 2602 2603 2604 2605 2606 2607 2608 2609 2610 2611 2612 2613 2614 2615 2616 2617 2618 2619 2620 2621 2622 2623 2624 2625 2626 2627 2628 2629 2630 2631 2632 2633 2634 2635 2636 2637 2638 2639 2640 2641 2642 2643 2644 2645 2646 2647 2648 2649 2650 2651 2652 2653 2654 2655 2656 2657 2658 2659 2660 2661 2662 2663 2664 2665 2666 2667 2668 2669 2670 2671 2672 2673 2674 2675 2676 2677 2678 2679 2680 2681 2682 2683 2684 2685 2686 2687 2688 2689 2690 2691 2692 2693 2694 2695 2696 2697 2698 2699 2700 2701 2702 2703 2704 2705 2706 2707 2708 2709 2710 2711 2712 2713 2714 2715 2716 2717 2718 2719 2720 2721 2722 2723 2724 2725 2726 2727 2728 2729 2730 2731 2732 2733 2734 2735 2736 2737 2738 2739 2740 2741 2742 2743 2744 2745 2746 2747 2748 2749 2750 2751 2752 2753 2754 2755 2756 2757 2758 2759 2760 2761 2762 2763 2764 2765 2766 2767 2768 2769 2770 2771 2772 2773 2774 2775 2776 2777 2778 2779 2780 2781 2782 2783 2784 2785 2786 2787 2788 2789 2790 2791 2792 2793 2794 2795 2796 2797 2798 2799 2800 2801 2802 2803 2804 2805 2806 2807 2808 2809 2810 2811 2812 2813 2814 2815 2816 2817 2818 2819 2820 2821 2822 2823 2824 2825 2826 2827 2828 2829 2830 2831 2832 2833 2834 2835 2836 2837 2838 2839 2840 2841 2842 2843 2844 2845 2846 2847 2848 2849 2850 2851 2852 2853 2854 2855 2856 2857 2858 2859 2860 2861 2862 2863 2864 2865 2866 2867 2868 2869 2870 2871 2872 2873 2874 2875 2876 2877 2878 2879 2880 2881 2882 2883 2884 2885 2886 2887 2888 2889 2890 2891 2892 2893 2894 2895 2896 2897 2898 2899 2900 2901 2902 2903 2904 2905 2906 2907 2908 2909 2910 2911 2912 2913 2914 2915 2916 2917 2918 2919 2920 2921 2922 2923 2924 2925 2926 2927 2928 2929 2930 2931 2932 2933 2934 2935 2936 2937 2938 2939 2940 2941 2942 2943 2944 2945 2946 2947 2948 2949 2950 2951 2952 2953 2954 2955 2956 2957 2958 2959 2960 2961 2962 2963 2964 2965 2966 2967 2968 2969 2970 2971 2972 2973 2974 2975 2976 2977 2978 2979 2980 2981 2982 2983 2984 2985 2986 2987 2988 2989 2990 2991 2992 2993 2994 2995 2996 2997 2998 2999 3000 3001 3002 3003 3004 3005 3006 3007 3008 3009 3010 3011 3012 3013 3014 3015 3016 3017 3018 3019 3020 3021 3022 3023 3024 3025 3026 3027 3028 3029 3030 3031 3032 3033 3034 3035 3036 3037 3038 3039 3040 3041 3042 3043 3044 3045 3046 3047 3048 3049 3050 3051 3052 3053 3054 3055 3056 3057 3058 3059 3060 3061 3062 3063 3064 3065 3066 3067 3068 3069 3070 3071 3072 3073 3074 3075 3076 3077 3078 3079 3080 3081 3082 3083 3084 3085 3086 3087 3088 3089 3090 3091 3092 3093 3094 3095 3096 3097 3098 3099 3100 3101 3102 3103 3104 3105 3106 3107 3108 3109 3110 3111 3112 3113 3114 3115 3116 3117 3118 3119 3120 3121 3122 3123 3124 3125 3126 3127 3128 3129 3130 3131 3132 3133 3134 3135 3136 3137 3138 3139 3140 3141 3142 3143 3144 3145 3146 3147 3148 3149 3150 3151 3152 3153 3154 3155 3156 3157 3158 3159 3160 3161 3162 3163 3164 3165 3166 3167 3168 3169 3170 3171 3172 3173 3174 3175 3176 3177 3178 3179 3180 3181 3182 3183 3184 3185 3186 3187 3188 3189 3190 3191 3192 3193 3194 3195 3196 3197 3198 3199 3200 3201 3202 3203 3204 3205 3206 3207 3208 3209 3210 3211 3212 3213 3214 3215 3216 3217 3218 3219 3220 3221 3222 3223 3224 3225 3226 3227 3228 3229 3230 3231 3232 3233 3234 3235 3236 3237 3238 3239 3240 3241 3242 3243 3244 3245 3246 3247 3248 3249 3250 3251 3252 3253 3254 3255 3256 3257 3258 3259 3260 3261 3262 3263 3264 3265 3266 3267 3268 3269 3270 3271 3272 3273 3274 3275 3276 3277 3278 3279 3280 3281 3282 3283 3284 3285 3286 3287 3288 3289 3290 3291 3292 3293 3294 3295 3296 3297 3298 3299 3300 3301 3302 3303 3304 3305 3306 3307 3308 3309 3310 3311 3312 3313 3314 3315 3316 3317 3318 3319 3320 3321 3322 3323 3324 3325 3326 3327 3328 3329 3330 3331 3332 3333 3334 3335 3336 3337 3338 3339 3340 3341 3342 3343 3344 3345 3346 3347 3348 3349 3350 3351 3352 3353 3354 3355 3356 3357 3358 3359 3360 3361 3362 3363 3364 3365 3366 3367 3368 3369 3370 3371 3372 3373 3374 3375 3376 3377 3378 3379 3380 3381 3382 3383 3384 3385 3386 3387 3388 3389 3390 3391 3392 3393 3394 3395 3396 3397 3398 3399 3400 3401 3402 3403 3404 3405 3406 3407 3408 3409 3410 3411 3412 3413 3414 3415 3416 3417 3418 3419 3420 3421 3422 3423 3424 3425 3426 3427 3428 3429 3430 3431 3432 3433 3434 3435 3436 3437 3438 3439 3440 3441 3442 3443 3444 3445 3446 3447 3448 3449 3450 3451 3452 3453 3454 3455 3456 3457 3458 3459 3460 3461 3462 3463 3464 3465 3466 3467 3468 3469 3470 3471 3472 3473 3474 3475 3476 3477 3478 3479 3480 3481 3482 3483 3484 3485 3486 3487 3488 3489 3490 3491 3492 3493 3494 3495 3496 3497 3498 3499 3500 3501 3502 3503 3504 3505 3506 3507 3508 3509 3510 3511 3512 3513 3514 3515 3516 3517 3518 3519 3520 3521 3522 3523 3524 3525 3526 3527 3528 3529 3530 3531 3532 3533 3534 3535 3536 3537 3538 3539 3540 3541 3542 3543 3544 3545 3546 3547 3548 3549 3550 3551 3552 3553 3554 3555 3556 3557 3558 3559 3560 3561 3562 3563 3564 3565 3566 3567 3568 3569 3570 3571 3572 3573 3574 3575 3576 3577 3578 3579 3580 3581 3582 3583 3584 3585 3586 3587 3588 3589 3590 3591 3592 3593 3594 3595 3596 3597 3598 3599 3600 3601 3602 3603 3604 3605 3606 3607 3608 3609 3610 3611 3612 3613 3614 3615 3616 3617 3618 3619 3620 3621 3622 3623 3624 3625 3626 3627 3628 3629 3630 3631 3632 3633 3634 3635 3636 3637 3638 3639 3640 3641 3642 3643 3644 3645 3646 3647 3648 3649 3650 3651 3652 3653 3654 3655 3656 3657 3658 3659 3660 3661 3662 3663 3664 3665 3666 3667 3668 3669 3670 3671 3672 3673 3674 3675 3676 3677 3678 3679 3680 3681 3682 3683 3684 3685 3686 3687 3688 3689 3690 3691 3692 3693 3694 3695 3696 3697 3698 3699 3700 3701 3702 3703 3704 3705 3706 3707 3708 3709 3710 3711 3712 3713 3714 3715 3716 3717 3718 3719 3720 3721 3722 3723 3724 3725 3726 3727 3728 3729 3730 3731 3732 3733 3734 3735 3736 3737 3738 3739 3740 3741 3742 3743 3744 3745 3746 3747 3748 3749 3750 3751 3752 3753 3754 3755 3756 3757 3758 3759 3760 3761 3762 3763 3764 3765 3766 3767 3768 3769 3770 3771 3772 3773 3774 3775 3776 3777 3778 3779 3780 3781 3782 3783 3784 3785 3786 3787 3788 3789 3790 3791 3792 3793 3794 3795 3796 3797 3798 3799 3800 3801 3802 3803 3804 3805 3806 3807 3808 3809 3810 3811 3812 3813 3814 3815 3816 3817 3818 3819 3820 3821 3822 3823 3824 3825 3826 3827 3828 3829 3830 3831 3832 3833 3834 3835 3836 3837 3838 3839 3840 3841 3842 3843 3844 3845 3846 3847 3848 3849 3850 3851 3852 3853 3854 3855 3856 3857 3858 3859 3860 3861 3862 3863 3864 3865 3866 3867 3868 3869 3870 3871 3872 3873 3874 3875 3876 3877 3878 3879 3880 3881 3882 3883 3884 3885 3886 3887 3888 3889 3890 3891 3892 3893 3894 3895 3896 3897 3898 3899 3900 3901 3902 3903 3904 3905 3906 3907 3908 3909 3910 3911 3912 3913 3914 3915 3916 3917 3918 3919 3920 3921 3922 3923 3924 3925 3926 3927 3928 3929 3930 3931 3932 3933 3934 3935 3936 3937 3938 3939 3940 3941 3942 3943 3944 3945 3946 3947 3948 3949 3950 3951 3952 3953 3954 3955 3956 3957 3958 3959 3960 3961 3962 3963 3964 3965 3966 3967 3968 3969 3970 3971 3972 3973 3974 3975 3976 3977 3978 3979 3980 3981 3982 3983 3984 3985 3986 3987 3988 3989 3990 3991 3992 3993 3994 3995 3996 3997 3998 3999 4000 4001 4002 4003 4004 4005 4006 4007 4008 4009 4010 4011 4012 4013 4014 4015 4016 4017 4018 4019 4020 4021 4022 4023 4024 4025 4026 4027 4028 4029 4030 4031 4032 4033 4034 4035 4036 4037 4038 4039 4040 4041 4042 4043 4044 4045 4046 4047 4048 4049 4050 4051 4052 4053 4054 4055 4056 4057 4058 4059 4060 4061 4062 4063 4064 4065 4066 4067 4068 4069 4070 4071 4072 4073 4074 4075 4076 4077 4078 4079 4080 4081 4082 4083 4084 4085 4086 4087 4088 4089 4090 4091 4092 4093 4094 4095 4096 4097 4098 4099 4100 4101 4102 4103 4104 4105 4106 4107 4108 4109 4110 4111 4112 4113 4114 4115 4116 4117 4118 4119 4120 4121 4122 4123 4124 4125 4126 4127 4128 4129 4130 4131 4132 4133 4134 4135 4136 4137 4138 4139 4140 4141 4142 4143 4144 4145 4146 4147 4148 4149 4150 4151 4152 4153 4154 4155 4156 4157 4158 4159 4160 4161 4162 4163 4164 4165 4166 4167 4168 4169 4170 4171 4172 4173 4174 4175 4176 4177 4178 4179 4180 4181 4182 4183 4184 4185 4186 4187 4188 4189 4190 4191 4192 4193 4194 4195 4196 4197 4198 4199 4200 4201 4202 4203 4204 4205 4206 4207 4208 4209 4210 4211 4212 4213 4214 4215 4216 4217 4218 4219 4220 4221 4222 4223 4224 4225 4226 4227 4228 4229 4230 4231 4232 4233 4234 4235 4236 4237 4238 4239 4240 4241 4242 4243 4244 4245 4246 4247 4248 4249 4250 4251 4252 4253 4254 4255 4256 4257 4258 4259 4260 4261 4262 4263 4264 4265 4266 4267 4268 4269 4270 4271 4272 4273 4274 4275 4276 4277 4278 4279 4280 4281 4282 4283 4284 4285 4286 4287 4288 4289 4290 4291 4292 4293 4294 4295 4296 4297 4298 4299 4300 4301 4302 4303 4304 4305 4306 4307 4308 4309 4310 4311 4312 4313 4314 4315 4316 4317 4318 4319 4320 4321 4322 4323 4324 4325 4326 4327 4328 4329 4330 4331 4332 4333 4334 4335 4336 4337 4338 4339 4340 4341 4342 4343 4344 4345 4346 4347 4348 4349 4350 4351 4352 4353 4354 4355 4356 4357 4358 4359 4360 4361 4362 4363 4364 4365 4366 4367 4368 4369 4370 4371 4372 4373 4374 4375 4376 4377 4378 4379 4380 4381 4382 4383 4384 4385 4386 4387 4388 4389 4390 4391 4392 4393 4394 4395 4396 4397 4398 4399 4400 4401 4402 4403 4404 4405 4406 4407 4408 4409 4410 4411 4412 4413 4414 4415 4416 4417 4418 4419 4420 4421 4422 4423 4424 4425 4426 4427 4428 4429 4430 4431 4432 4433 4434 4435 4436 4437 4438 4439 4440 4441 4442 4443 4444 4445 4446 4447 4448 4449 4450 4451 4452 4453 4454 4455 4456 4457 4458 4459 4460 4461 4462 4463 4464 4465 4466 4467 4468 4469 4470 4471 4472 4473 4474 4475 4476 4477 4478 4479 4480 4481 4482 4483 4484 4485 4486 4487 4488 4489 4490 4491 4492 4493 4494 4495 4496 4497 4498 4499 4500 4501 4502 4503 4504 4505 4506 4507 4508 4509 4510 4511 4512 4513 4514 4515 4516 4517 4518 4519 4520 4521 4522 4523 4524 4525 4526 4527 4528 4529 4530 4531 4532 4533 4534 4535 4536 4537 4538 4539 4540 4541 4542 4543 4544 4545 4546 4547 4548 4549 4550 4551 4552 4553 4554 4555 4556 4557 4558 4559 4560 4561 4562 4563 4564 4565 4566 4567 4568 4569 4570 4571 4572 4573 4574 4575 4576 4577 4578 4579 4580 4581 4582 4583 4584 4585 4586 4587 4588 4589 4590 4591 4592 4593 4594 4595 4596 4597 4598 4599 4600 4601 4602 4603 4604 4605 4606 4607 4608 4609 4610 4611 4612 4613 4614 4615 4616 4617 4618 4619 4620 4621 4622 4623 4624 4625 4626 4627 4628 4629 4630 4631 4632 4633 4634 4635 4636 4637 4638 4639 4640 4641 4642 4643 4644 4645 4646 4647 4648 4649 4650 4651 4652 4653 4654 4655 4656 4657 4658 4659 4660 4661 4662 4663 4664 4665 4666 4667 4668 4669 4670 4671 4672 4673 4674 4675 4676 4677 4678 4679 4680 4681 4682 4683 4684 4685 4686 4687 4688 4689 4690 4691 4692 4693 4694 4695 4696 4697 4698 4699 4700 4701 4702 4703 4704 4705 4706 4707 4708 4709 4710 4711 4712 4713 4714 4715 4716 4717 4718 4719 4720 4721 4722 4723 4724 4725 4726 4727 4728 4729 4730 4731 4732 4733 4734 4735 4736 4737 4738 4739 4740 4741 4742 4743 4744 4745 4746 4747 4748 4749 4750 4751 4752 4753 4754 4755 4756 4757 4758 4759 4760 4761 4762 4763 4764 4765 4766 4767 4768 4769 4770 4771 4772 4773 4774 4775 4776 4777 4778 4779 4780 4781 4782 4783 4784 4785 4786 4787 4788 4789 4790 4791 4792 4793 4794 4795 4796 4797 4798 4799 4800 4801 4802 4803 4804 4805 4806 4807 4808 4809 4810 4811 4812 4813 4814 4815 4816 4817 4818 4819 4820 4821 4822 4823 4824 4825 4826 4827 4828 4829 4830 4831 4832 4833 4834 4835 4836 4837 4838 4839 4840 4841 4842 4843 4844 4845 4846 4847 4848 4849 4850 4851 4852 4853 4854 4855 4856 4857 4858 4859 4860 4861 4862 4863 4864 4865 4866 4867 4868 4869 4870 4871 4872 4873 4874 4875 4876 4877 4878 4879 4880 4881 4882 4883 4884 4885 4886 4887 4888 4889 4890 4891 4892 4893 4894 4895 4896 4897 4898 4899 4900 4901 4902 4903 4904 4905 4906 4907 4908 4909 4910 4911 4912 4913 4914 4915 4916 4917 4918 4919 4920 4921 4922 4923 4924 4925 4926 4927 4928 4929 4930 4931 4932 4933 4934 4935 4936 4937 4938 4939 4940 4941 4942 4943 4944 4945 4946 4947 4948 4949 4950 4951 4952 4953 4954 4955 4956 4957 4958 4959 4960 4961 4962 4963 4964 4965 4966 4967 4968 4969 4970 4971 4972 4973 4974 4975 4976 4977 4978 4979 4980 4981 4982 4983 4984 4985 4986 4987 4988 4989 4990 4991 4992 4993 4994 4995 4996 4997 4998 4999 5000 5001 5002 5003 5004 5005 5006 5007 5008 5009 5010 5011 5012 5013 5014 5015 5016 5017 5018 5019 5020 5021 5022 5023 5024 5025 5026 5027 5028 5029 5030 5031 5032 5033 5034 5035 5036 5037 5038 5039 5040 5041 5042 5043 5044 5045 5046 5047 5048 5049 5050 5051 5052 5053 5054 5055 5056 5057 5058 5059 5060 5061 5062 5063 5064 5065 5066 5067 5068 5069 5070 5071 5072 5073 5074 5075 5076 5077 5078 5079 5080 5081 5082 5083 5084 5085 5086 5087 5088 5089 5090 5091 5092 5093 5094 5095 5096 5097 5098 5099 5100 5101 5102 5103 5104 5105 5106 5107 5108 5109 5110 5111 5112 5113 5114 5115 5116 5117 5118 5119 5120 5121 5122 5123 5124 5125 5126 5127 5128 5129 5130 5131 5132 5133 5134 5135 5136 5137 5138 5139 5140 5141 5142 5143 5144 5145 5146 5147 5148 5149 5150 5151 5152 5153 5154 5155 5156 5157 5158 5159 5160 5161 5162 5163 5164 5165 5166 5167 5168 5169 5170 5171 5172 5173 5174 5175 5176 5177 5178 5179 5180 5181 5182 5183 5184 5185 5186 5187 5188 5189 5190 5191 5192 5193 5194 5195 5196 5197 5198 5199 5200 5201 5202 5203 5204 5205 5206 5207 5208 5209 5210 5211 5212 5213 5214 5215 5216 5217 5218 5219 5220 5221 5222 5223 5224 5225 5226 5227 5228 5229 5230 5231 5232 5233 5234 5235 5236 5237 5238 5239 5240 5241 5242 5243 5244 5245 5246 5247 5248 5249 5250 5251 5252 5253 5254 5255 5256 5257 5258 5259 5260 5261 5262 5263 5264 5265 5266 5267 5268 5269 5270 5271 5272 5273 5274 5275 5276 5277 5278 5279 5280 5281 5282 5283 5284 5285 5286 5287 5288 5289 5290 5291 5292 5293 5294 5295 5296 5297 5298 5299 5300 5301 5302 5303 5304 5305 5306 5307 5308 5309 5310 5311 5312 5313 5314 5315 5316 5317 5318 5319 5320 5321 5322 5323 5324 5325 5326 5327 5328 5329 5330 5331 5332 5333 5334 5335 5336 5337 5338 5339 5340 5341 5342 5343 5344 5345 5346 5347 5348 5349 5350 5351 5352 5353 5354 5355 5356 5357 5358 5359 5360 5361 5362 5363 5364 5365 5366 5367 5368 5369 5370 5371 5372 5373 5374 5375 5376 5377 5378 5379 5380 5381 5382 5383 5384 5385 5386 5387 5388 5389 5390 5391 5392 5393 5394 5395 5396 5397 5398 5399 5400 5401 5402 5403 5404 5405 5406 5407 5408 5409 5410 5411 5412 5413 5414 5415 5416 5417 5418 5419 5420 5421 5422 5423 5424 5425 5426 5427 5428 5429 5430 5431 5432 5433 5434 5435 5436 5437 5438 5439 5440 5441 5442 5443 5444 5445 5446 5447 5448 5449 5450 5451 5452 5453 5454 5455 5456 5457 5458 5459 5460 5461 5462 5463 5464 5465 5466 5467 5468 5469 5470 5471 5472 5473 5474 5475 5476 5477 5478 5479 5480 5481 5482 5483 5484 5485 5486 5487 5488 5489 5490 5491 5492 5493 5494 5495 5496 5497 5498 5499 5500 5501 5502 5503 5504 5505 5506 5507 5508 5509 5510 5511 5512 5513 5514 5515 5516 5517 5518 5519 5520 5521 5522 5523 5524 5525 5526 5527 5528 5529 5530 5531 5532 5533 5534 5535 5536 5537 5538 5539 5540 5541 5542 5543 5544 5545 5546 5547 5548 5549 5550 5551 5552 5553 5554 5555 5556 5557 5558 5559 5560 5561 5562 5563 5564 5565 5566 5567 5568 5569 5570 5571 5572 5573 5574 5575 5576 5577 5578 5579 5580 5581 5582 5583 5584 5585 5586 5587 5588 5589 5590 5591 5592 5593 5594 5595 5596 5597 5598 5599 5600 5601 5602 5603 5604 5605 5606 5607 5608 5609 5610 5611 5612 5613 5614 5615 5616 5617 5618 5619 5620 5621 5622 5623 5624 5625 5626 5627 5628 5629 5630 5631 5632 5633 5634 5635 5636 5637 5638 5639 5640 5641 5642 5643 5644 5645 5646 5647 5648 5649 5650 5651 5652 5653 5654 5655 5656 5657 5658 5659 5660 5661 5662 5663 5664 5665 5666 5667 5668 5669 5670 5671 5672 5673 5674 5675 5676 5677 5678 5679 5680 5681 5682 5683 5684 5685 5686 5687 5688 5689 5690 5691 5692 5693 5694 5695 5696 5697 5698 5699 5700 5701 5702 5703 5704 5705 5706 5707 5708 5709 5710 5711 5712 5713 5714 5715 5716 5717 5718 5719 5720 5721 5722 5723 5724 5725 5726 5727 5728 5729 5730 5731 5732 5733 5734 5735 5736 5737 5738 5739 5740 5741 5742 5743 5744 5745 5746 5747 5748 5749 5750 5751 5752 5753 5754 5755 5756 5757 5758 5759 5760 5761 5762 5763 5764 5765 5766 5767 5768 5769 5770 5771 5772 5773 5774 5775 5776 5777 5778 5779 5780 5781 5782 5783 5784 5785 5786 5787 5788 5789 5790 5791 5792 5793 5794 5795 5796 5797 5798 5799 5800 5801 5802 5803 5804 5805 5806 5807 5808 5809 5810 5811 5812 5813 5814 5815 5816 5817 5818 5819 5820 5821 5822 5823 5824 5825 5826 5827 5828 5829 5830 5831 5832 5833 5834 5835 5836 5837 5838 5839 5840 5841 5842 5843 5844 5845 5846 5847 5848 5849 5850 5851 5852 5853 5854 5855 5856 5857 5858 5859 5860 5861 5862 5863 5864 5865 5866 5867 5868 5869 5870 5871 5872 5873 5874 5875 5876 5877 5878 5879 5880 5881 5882 5883 5884 5885 5886 5887 5888 5889 5890 5891 5892 5893 5894 5895 5896 5897 5898 5899 5900 5901 5902 5903 5904 5905 5906 5907 5908 5909 5910 5911 5912 5913 5914 5915 5916 5917 5918 5919 5920 5921 5922 5923 5924 5925 5926 5927 5928 5929 5930 5931 5932 5933 5934 5935 5936 5937 5938 5939 5940 5941 5942 5943 5944 5945 5946 5947 5948 5949 5950 5951 5952 5953 5954 5955 5956 5957 5958 5959 5960 5961 5962 5963 5964 5965 5966 5967 5968 5969 5970 5971 5972 5973 5974 5975 5976 5977 5978 5979 5980 5981 5982 5983 5984 5985 5986 5987 5988 5989 5990 5991 5992 5993 5994 5995 5996 5997 5998 5999 6000 6001 6002 6003 6004 6005 6006 6007 6008 6009 6010 6011 6012 6013 6014 6015 6016 6017 6018 6019 6020 6021 6022 6023 6024 6025 6026 6027 6028 6029 6030 6031 6032 6033 6034 6035 6036 6037 6038 6039 6040 6041 6042 6043 6044 6045 6046 6047 6048 6049 6050 6051 6052 6053 6054 6055 6056 6057 6058 6059 6060 6061 6062 6063 6064 6065 6066 6067 6068 6069 6070 6071 6072 6073 6074 6075 6076 6077 6078 6079 6080 6081 6082 6083 6084 6085 6086 6087 6088 6089 6090 6091 6092 6093 6094 6095 6096 6097 6098 6099 6100 6101 6102 6103 6104 6105 6106 6107 6108 6109 6110 6111 6112 6113 6114 6115 6116 6117 6118 6119 6120 6121 6122 6123 6124 6125 6126 6127 6128 6129 6130 6131 6132 6133 6134 6135 6136 6137 6138 6139 6140 6141 6142 6143 6144 6145 6146 6147 6148 6149 6150 6151 6152 6153 6154 6155 6156 6157 6158 6159 6160 6161 6162 6163 6164 6165 6166 6167 6168 6169 6170 6171 6172 6173 6174 6175 6176 6177 6178 6179 6180 6181 6182 6183 6184 6185 6186 6187 6188 6189 6190 6191 6192 6193 6194 6195 6196 6197 6198 6199 6200 6201 6202 6203 6204 6205 6206 6207 6208 6209 6210 6211 6212 6213 6214 6215 6216 6217 6218 6219 6220 6221 6222 6223 6224 6225 6226 6227 6228 6229 6230 6231 6232 6233 6234 6235 6236 6237 6238 6239 6240 6241 6242 6243 6244 6245 6246 6247 6248 6249 6250 6251 6252 6253 6254 6255 6256 6257 6258 6259 6260 6261 6262 6263 6264 6265 6266 6267 6268 6269 6270 6271 6272 6273 6274 6275 6276 6277 6278 6279 6280 6281 6282 6283 6284 6285 6286 6287 6288 6289 6290 6291 6292 6293 6294 6295 6296 6297 6298 6299 6300 6301 6302 6303 6304 6305 6306 6307 6308 6309 6310 6311 6312 6313 6314 6315 6316 6317 6318 6319 6320 6321 6322 6323 6324 6325 6326 6327 6328 6329 6330 6331 6332 6333 6334 6335 6336 6337 6338 6339 6340 6341 6342 6343 6344 6345 6346 6347 6348 6349 6350 6351 6352 6353 6354 6355 6356 6357 6358 6359 6360 6361 6362 6363 6364 6365 6366 6367 6368 6369 6370 6371 6372 6373 6374 6375 6376 6377 6378 6379 6380 6381 6382 6383 6384 6385 6386 6387 6388 6389 6390 6391 6392 6393 6394 6395 6396 6397 6398 6399 6400 6401 6402 6403 6404 6405 6406 6407 6408 6409 6410 6411 6412 6413 6414 6415 6416 6417 6418 6419 6420 6421 6422 6423 6424 6425 6426 6427 6428 6429 6430 6431 6432 6433 6434 6435 6436 6437 6438 6439 6440 6441 6442 6443 6444 6445 6446 6447 6448 6449 6450 6451 6452 6453 6454 6455 6456 6457 6458 6459 6460 6461 6462 6463 6464 6465 6466 6467 6468 6469 6470 6471 6472 6473 6474 6475 6476 6477 6478 6479 6480 6481 6482 6483 6484 6485 6486 6487 6488 6489 6490 6491 6492 6493 6494 6495 6496 6497 6498 6499 6500 6501 6502 6503 6504 6505 6506 6507 6508 6509 6510 6511 6512 6513 6514 6515 6516 6517 6518 6519 6520 6521 6522 6523 6524 6525 6526 6527 6528 6529 6530 6531 6532 6533 6534 6535 6536 6537 6538 6539 6540 6541 6542 6543 6544 6545 6546 6547 6548 6549 6550 6551 6552 6553 6554 6555 6556 6557 6558 6559 6560 6561 6562 6563 6564 6565 6566 6567 6568 6569 6570 6571 6572 6573 6574 6575 6576 6577 6578 6579 6580 6581 6582 6583 6584 6585 6586 6587 6588 6589 6590 6591 6592 6593 6594 6595 6596 6597 6598 6599 6600 6601 6602 6603 6604 6605 6606 6607 6608 6609 6610 6611 6612 6613 6614 6615 6616 6617 6618 6619 6620 6621 6622 6623 6624 6625 6626 6627 6628 6629 6630 6631 6632 6633 6634 6635 6636 6637 6638 6639 6640 6641 6642 6643 6644 6645 6646 6647 6648 6649 6650 6651 6652 6653 6654 6655 6656 6657 6658 6659 6660 6661 6662 6663 6664 6665 6666 6667 6668 6669 6670 6671 6672 6673 6674 6675 6676 6677 6678 6679 6680 6681 6682 6683 6684 6685 6686 6687 6688 6689 6690 6691 6692 6693 6694 6695 6696 6697 6698 6699 6700 6701 6702 6703 6704 6705 6706 6707 6708 6709 6710 6711 6712 6713 6714 6715 6716 6717 6718 6719 6720 6721 6722 6723 6724 6725 6726 6727 6728 6729 6730 6731 6732 6733 6734 6735 6736 6737 6738 6739 6740 6741 6742 6743 6744 6745 6746 6747 6748 6749 6750 6751 6752 6753 6754 6755 6756 6757 6758 6759 6760 6761 6762 6763 6764 6765 6766 6767 6768 6769 6770 6771 6772 6773 6774 6775 6776 6777 6778 6779 6780 6781 6782 6783 6784 6785 6786 6787 6788 6789 6790 6791 6792 6793 6794 6795 6796 6797 6798 6799 6800 6801 6802 6803 6804 6805 6806 6807 6808 6809 6810 6811 6812 6813 6814 6815 6816 6817 6818 6819 6820 6821 6822 6823 6824 6825 6826 6827 6828 6829 6830 6831 6832 6833 6834 6835 6836 6837 6838 6839 6840 6841 6842 6843 6844 6845 6846 6847 6848 6849 6850 6851 6852 6853 6854 6855 6856 6857 6858 6859 6860 6861 6862 6863 6864 6865 6866 6867 6868 6869 6870 6871 6872 6873 6874 6875 6876 6877 6878 6879 6880 6881 6882 6883 6884 6885 6886 6887 6888 6889 6890 6891 6892 6893 6894 6895 6896 6897 6898 6899 6900 6901 6902 6903 6904 6905 6906 6907 6908 6909 6910 6911 6912 6913 6914 6915 6916 6917 6918 6919 6920 6921 6922 6923 6924 6925 6926 6927 6928 6929 6930 6931 6932 6933 6934 6935 6936 6937 6938 6939 6940 6941 6942 6943 6944 6945 6946 6947 6948 6949 6950 6951 6952 6953 6954 6955 6956 6957 6958 6959 6960 6961 6962 6963 6964 6965 6966 6967 6968 6969 6970 6971 6972 6973 6974 6975 6976 6977 6978 6979 6980 6981 6982 6983 6984 6985 6986 6987 6988 6989 6990 6991 6992 6993 6994 6995 6996 6997 6998 6999 7000 7001 7002 7003 7004 7005 7006 7007 7008 7009 7010 7011 7012 7013 7014 7015 7016 7017 7018 7019 7020 7021 7022 7023 7024 7025 7026 7027 7028 7029 7030 7031 7032 7033 7034 7035 7036 7037 7038 7039 7040 7041 7042 7043 7044 7045 7046 7047 7048 7049 7050 7051 7052 7053 7054 7055 7056 7057 7058 7059 7060 7061 7062 7063 7064 7065 7066 7067 7068 7069 7070 7071 7072 7073 7074 7075 7076 7077 7078 7079 7080 7081 7082 7083 7084 7085 7086 7087 7088 7089 7090 7091 7092 7093 7094 7095 7096 7097 7098 7099 7100 7101 7102 7103 7104 7105 7106 7107 7108 7109 7110 7111 7112 7113 7114 7115 7116 7117 7118 7119 7120 7121 7122 7123 7124 7125 7126 7127 7128 7129 7130 7131 7132 7133 7134 7135 7136 7137 7138 7139 7140 7141 7142 7143 7144 7145 7146 7147 7148 7149 7150 7151 7152 7153 7154 7155 7156 7157 7158 7159 7160 7161 7162 7163 7164 7165 7166 7167 7168 7169 7170 7171 7172 7173 7174 7175 7176 7177 7178 7179 7180 7181 7182 7183 7184 7185 7186 7187 7188 7189 7190 7191 7192 7193 7194 7195 7196 7197 7198 7199 7200 7201 7202 7203 7204 7205 7206 7207 7208 7209 7210 7211 7212 7213 7214 7215 7216 7217 7218 7219 7220 7221 7222 7223 7224 7225 7226 7227 7228 7229 7230 7231 7232 7233 7234 7235 7236 7237 7238 7239 7240 7241 7242 7243 7244 7245 7246 7247 7248 7249 7250 7251 7252 7253 7254 7255 7256 7257 7258 7259 7260 7261 7262 7263 7264 7265 7266 7267 7268 7269 7270 7271 7272 7273 7274 7275 7276 7277 7278 7279 7280 7281 7282 7283 7284 7285 7286 7287 7288 7289 7290 7291 7292 7293 7294 7295 7296 7297 7298 7299 7300 7301 7302 7303 7304 7305 7306 7307 7308 7309 7310 7311 7312 7313 7314 7315 7316 7317 7318 7319 7320 7321 7322 7323 7324 7325 7326 7327 7328 7329 7330 7331 7332 7333 7334 7335 7336 7337 7338 7339 7340 7341 7342 7343 7344 7345 7346 7347 7348 7349 7350 7351 7352 7353 7354 7355 7356 7357 7358 7359 7360 7361 7362 7363 7364 7365 7366 7367 7368 7369 7370 7371 7372 7373 7374 7375 7376 7377 7378 7379 7380 7381 7382 7383 7384 7385 7386 7387 7388 7389 7390 7391 7392 7393 7394 7395 7396 7397 7398 7399 7400 7401 7402 7403 7404 7405 7406 7407 7408 7409 7410 7411 7412 7413 7414 7415 7416 7417 7418 7419 7420 7421 7422 7423 7424 7425 7426 7427 7428 7429 7430 7431 7432 7433 7434 7435 7436 7437 7438 7439 7440 7441 7442 7443 7444 7445 7446 7447 7448 7449 7450 7451 7452 7453 7454 7455 7456 7457 7458 7459 7460 7461 7462 7463 7464 7465 7466 7467 7468 7469 7470 7471 7472 7473 7474 7475 7476 7477 7478 7479 7480 7481 7482 7483 7484 7485 7486 7487 7488 7489 7490 7491 7492 7493 7494 7495 7496 7497 7498 7499 7500 7501 7502 7503 7504 7505 7506 7507 7508 7509 7510 7511 7512 7513 7514 7515 7516 7517 7518 7519 7520 7521 7522 7523 7524 7525 7526 7527 7528 7529 7530 7531 7532 7533 7534 7535 7536 7537 7538 7539 7540 7541 7542 7543 7544 7545 7546 7547 7548 7549 7550 7551 7552 7553 7554 7555 7556 7557 7558 7559 7560 7561 7562 7563 7564 7565 7566 7567 7568 7569 7570 7571 7572 7573 7574 7575 7576 7577 7578 7579 7580 7581 7582 7583 7584 7585 7586 7587 7588 7589 7590 7591 7592 7593 7594 7595 7596 7597 7598 7599 7600 7601 7602 7603 7604 7605 7606 7607 7608 7609 7610 7611 7612 7613 7614 7615 7616 7617 7618 7619 7620 7621 7622 7623 7624 7625 7626 7627 7628 7629 7630 7631 7632 7633 7634 7635 7636 7637 7638 7639 7640 7641 7642 7643 7644 7645 7646 7647 7648 7649 7650 7651 7652 7653 7654 7655 7656 7657 7658 7659 7660 7661 7662 7663 7664 7665 7666 7667 7668 7669 7670 7671 7672 7673 7674 7675 7676 7677 7678 7679 7680 7681 7682 7683 7684 7685 7686 7687 7688 7689 7690 7691 7692 7693 7694 7695 7696 7697 7698 7699 7700 7701 7702 7703 7704 7705 7706 7707 7708 7709 7710 7711 7712 7713 7714 7715 7716 7717 7718 7719 7720 7721 7722 7723 7724 7725 7726 7727 7728 7729 7730 7731 7732 7733 7734 7735 7736 7737 7738 7739 7740 7741 7742 7743 7744 7745 7746 7747 7748 7749 7750 7751 7752 7753 7754 7755 7756 7757 7758 7759 7760 7761 7762 7763 7764 7765 7766 7767 7768 7769 7770 7771 7772 7773 7774 7775 7776 7777 7778 7779 7780 7781 7782 7783 7784 7785 7786 7787 7788 7789 7790 7791 7792 7793 7794 7795 7796 7797 7798 7799 7800 7801 7802 7803 7804 7805 7806 7807 7808 7809 7810 7811 7812 7813 7814 7815 7816 7817 7818 7819 7820 7821 7822 7823 7824 7825 7826 7827 7828 7829 7830 7831 7832 7833 7834 7835 7836 7837 7838 7839 7840 7841 7842 7843 7844 7845 7846 7847 7848 7849 7850 7851 7852 7853 7854 7855 7856 7857 7858 7859 7860 7861 7862 7863 7864 7865 7866 7867 7868 7869 7870 7871 7872 7873 7874 7875 7876 7877 7878 7879 7880 7881 7882 7883 7884 7885 7886 7887 7888 7889 7890 7891 7892 7893 7894 7895 7896 7897 7898 7899 7900 7901 7902 7903 7904 7905 7906 7907 7908 7909 7910 7911 7912 7913 7914 7915 7916 7917 7918 7919 7920 7921 7922 7923 7924 7925 7926 7927 7928 7929 7930 7931 7932 7933 7934 7935 7936 7937 7938 7939 7940 7941 7942 7943 7944 7945 7946 7947 7948 7949 7950 7951 7952 7953 7954 7955 7956 7957 7958 7959 7960 7961 7962 7963 7964 7965 7966 7967 7968 7969 7970 7971 7972 7973 7974 7975 7976 7977 7978 7979 7980 7981 7982 7983 7984 7985 7986 7987 7988 7989 7990 7991 7992 7993 7994 7995 7996 7997 7998 7999 8000 8001 8002 8003 8004 8005 8006 8007 8008 8009 8010 8011 8012 8013 8014 8015 8016 8017 8018 8019 8020 8021 8022 8023 8024 8025 8026 8027 8028 8029 8030 8031 8032 8033 8034 8035 8036 8037 8038 8039 8040 8041 8042 8043 8044 8045 8046 8047 8048 8049 8050 8051 8052 8053 8054 8055 8056 8057 8058 8059 8060 8061 8062 8063 8064 8065 8066 8067 8068 8069 8070 8071 8072 8073 8074 8075 8076 8077 8078 8079 8080 8081 8082 8083 8084 8085 8086 8087 8088 8089 8090 8091 8092 8093 8094 8095 8096 8097 8098 8099 8100 8101 8102 8103 8104 8105 8106 8107 8108 8109 8110 8111 8112 8113 8114 8115 8116 8117 8118 8119 8120 8121 8122 8123 8124 8125 8126 8127 8128 8129 8130 8131 8132 8133 8134 8135 8136 8137 8138 8139 8140 8141 8142 8143 8144 8145 8146 8147 8148 8149 8150 8151 8152 8153 8154 8155 8156 8157 8158 8159 8160 8161 8162 8163 8164 8165 8166 8167 8168 8169 8170 8171 8172 8173 8174 8175 8176 8177 8178 8179 8180 8181 8182 8183 8184 8185 8186 8187 8188 8189 8190 8191 8192 8193 8194 8195 8196 8197 8198 8199 8200 8201 8202 8203 8204 8205 8206 8207 8208 8209 8210 8211 8212 8213 8214 8215 8216 8217 8218 8219 8220 8221 8222 8223 8224 8225 8226 8227 8228 8229 8230 8231 8232 8233 8234 8235 8236 8237 8238 8239 8240 8241 8242 8243 8244 8245 8246 8247 8248 8249 8250 8251 8252 8253 8254 8255 8256 8257 8258 8259 8260 8261 8262 8263 8264 8265 8266 8267 8268 8269 8270 8271 8272 8273 8274 8275 8276 8277 8278 8279 8280 8281 8282 8283 8284 8285 8286 8287 8288 8289 8290 8291 8292 8293 8294 8295 8296 8297 8298 8299 8300 8301 8302 8303 8304 8305 8306 8307 8308 8309 8310 8311 8312 8313 8314 8315 8316 8317 8318 8319 8320 8321 8322 8323 8324 8325 8326 8327 8328 8329 8330 8331 8332 8333 8334 8335 8336 8337 8338 8339 8340 8341 8342 8343 8344 8345 8346 8347 8348 8349 8350 8351 8352 8353 8354 8355 8356 8357 8358 8359 8360 8361 8362 8363 8364 8365 8366 8367 8368 8369 8370 8371 8372 8373 8374 8375 8376 8377 8378 8379 8380 8381 8382 8383 8384 8385 8386 8387 8388 8389 8390 8391 8392 8393 8394 8395 8396 8397 8398 8399 8400 8401 8402 8403 8404 8405 8406 8407 8408 8409 8410 8411 8412 8413 8414 8415 8416 8417 8418 8419 8420 8421 8422 8423 8424 8425 8426 8427 8428 8429 8430 8431 8432 8433 8434 8435 8436 8437 8438 8439 8440 8441 8442 8443 8444 8445 8446 8447 8448 8449 8450 8451 8452 8453 8454 8455 8456 8457 8458 8459 8460 8461 8462 8463 8464 8465 8466 8467 8468 8469 8470 8471 8472 8473 8474 8475 8476 8477 8478 8479 8480 8481 8482 8483 8484 8485 8486 8487 8488 8489 8490 8491 8492 8493 8494 8495 8496 8497 8498 8499 8500 8501 8502 8503 8504 8505 8506 8507 8508 8509 8510 8511 8512 8513 8514 8515 8516 8517 8518 8519 8520 8521 8522 8523 8524 8525 8526 8527 8528 8529 8530 8531 8532 8533 8534 8535 8536 8537 8538 8539 8540 8541 8542 8543 8544 8545 8546 8547 8548 8549 8550 8551 8552 8553 8554 8555 8556 8557 8558 8559 8560 8561 8562 8563 8564 8565 8566 8567 8568 8569 8570 8571 8572 8573 8574 8575 8576 8577 8578 8579 8580 8581 8582 8583 8584 8585 8586 8587 8588 8589 8590 8591 8592 8593 8594 8595 8596 8597 8598 8599 8600 8601 8602 8603 8604 8605 8606 8607 8608 8609 8610 8611 8612 8613 8614 8615 8616 8617 8618 8619 8620 8621 8622 8623 8624 8625 8626 8627 8628 8629 8630 8631 8632 8633 8634 8635 8636 8637 8638 8639 8640 8641 8642 8643 8644 8645 8646 8647 8648 8649 8650 8651 8652 8653 8654 8655 8656 8657 8658 8659 8660 8661 8662 8663 8664 8665 8666 8667 8668 8669 8670 8671 8672 8673 8674 8675 8676 8677 8678 8679 8680 8681 8682 8683 8684 8685 8686 8687 8688 8689 8690 8691 8692 8693 8694 8695 8696 8697 8698 8699 8700 8701 8702 8703 8704 8705 8706 8707 8708 8709 8710 8711 8712 8713 8714 8715 8716 8717 8718 8719 8720 8721 8722 8723 8724 8725 8726 8727 8728 8729 8730 8731 8732 8733 8734 8735 8736 8737 8738 8739 8740 8741 8742 8743 8744 8745 8746 8747 8748 8749 8750 8751 8752 8753 8754 8755 8756 8757 8758 8759 8760 8761 8762 8763 8764 8765 8766 8767 8768 8769 8770 8771 8772 8773 8774 8775 8776 8777 8778 8779 8780 8781 8782 8783 8784 8785 8786 8787 8788 8789 8790 8791 8792 8793 8794 8795 8796 8797 8798 8799 8800 8801 8802 8803 8804 8805 8806 8807 8808 8809 8810 8811 8812 8813 8814 8815 8816 8817 8818 8819 8820 8821 8822 8823 8824 8825 8826 8827 8828 8829 8830 8831 8832 8833 8834 8835 8836 8837 8838 8839 8840 8841 8842 8843 8844 8845 8846 8847 8848 8849 8850 8851 8852 8853 8854 8855 8856 8857 8858 8859 8860 8861 8862 8863 8864 8865 8866 8867 8868 8869 8870 8871 8872 8873 8874 8875 8876 8877 8878 8879 8880 8881 8882 8883 8884 8885 8886 8887 8888 8889 8890 8891 8892 8893 8894 8895 8896 8897 8898 8899 8900 8901 8902 8903 8904 8905 8906 8907 8908 8909 8910 8911 8912 8913 8914 8915 8916 8917 8918 8919 8920 8921 8922 8923 8924 8925 8926 8927 8928 8929 8930 8931 8932 8933 8934 8935 8936 8937 8938 8939 8940 8941 8942 8943 8944 8945 8946 8947 8948 8949 8950 8951 8952 8953 8954 8955 8956 8957 8958 8959 8960 8961 8962 8963 8964 8965 8966 8967 8968 8969 8970 8971 8972 8973 8974 8975 8976 8977 8978 8979 8980 8981 8982 8983 8984 8985 8986 8987 8988 8989 8990 8991 8992 8993 8994 8995 8996 8997 8998 8999 9000 9001 9002 9003 9004 9005 9006 9007 9008 9009 9010 9011 9012 9013 9014 9015 9016 9017 9018 9019 9020 9021 9022 9023 9024 9025 9026 9027 9028 9029 9030 9031 9032 9033 9034 9035 9036 9037 9038 9039 9040 9041 9042 9043 9044 9045 9046 9047 9048 9049 9050 9051 9052 9053 9054 9055 9056 9057 9058 9059 9060 9061 9062 9063 9064 9065 9066 9067 9068 9069 9070 9071 9072 9073 9074 9075 9076 9077 9078 9079 9080 9081 9082 9083 9084 9085 9086 9087 9088 9089 9090 9091 9092 9093 9094 9095 9096 9097 9098 9099 9100 9101 9102 9103 9104 9105 9106 9107 9108 9109 9110 9111 9112 9113 9114 9115 9116 9117 9118 9119 9120 9121 9122 9123 9124 9125 9126 9127 9128 9129 9130 9131 9132 9133 9134 9135 9136 9137 9138 9139 9140 9141 9142 9143 9144 9145 9146 9147 9148 9149 9150 9151 9152 9153 9154 9155 9156 9157 9158 9159 9160 9161 9162 9163 9164 9165 9166 9167 9168 9169 9170 9171 9172 9173 9174 9175 9176 9177 9178 9179 9180 9181 9182 9183 9184 9185 9186 9187 9188 9189 9190 9191 9192 9193 9194 9195 9196 9197 9198 9199 9200 9201 9202 9203 9204 9205 9206 9207 9208 9209 9210 9211 9212 9213 9214 9215 9216 9217 9218 9219 9220 9221 9222 9223 9224 9225 9226 9227 9228 9229 9230 9231 9232 9233 9234 9235 9236 9237 9238 9239 9240 9241 9242 9243 9244 9245 9246 9247 9248 9249 9250 9251 9252 9253 9254 9255 9256 9257 9258 9259 9260 9261 9262 9263 9264 9265 9266 9267 9268 9269 9270 9271 9272 9273 9274 9275 9276 9277 9278 9279 9280 9281 9282 9283 9284 9285 9286 9287 9288 9289 9290 9291 9292 9293 9294 9295 9296 9297 9298 9299 9300 9301 9302 9303 9304 9305 9306 9307 9308 9309 9310 9311 9312 9313 9314 9315 9316 9317 9318 9319 9320 9321 9322 9323 9324 9325 9326 9327 9328 9329 9330 9331 9332 9333 9334 9335 9336 9337 9338 9339 9340 9341 9342 9343 9344 9345 9346 9347 9348 9349 9350
|
Java Electric change log ( MAIN branch )
NOTE: Please place changes under the version that will be released next.
************************* END OF Version 9.05, GNU RELEASE *************
************************* Version 9.05-r: ******************************
BUG: (6/25/14, SMR) Waveform window doesn't handle signals in time axis (Bug #6182).
FIX: Fixed display and dragging in waveform windows.
IMP: (6/23/14, GVG) Undoing Bug #6161 "Continue the reading process when
cellnames are duplicated"
IMP: (6/23/14, SMR) Sea of Gates router handles X and Y spacing rules (Bug #6174).
BUG: (6/19/14, DN) Instance name doesn't move (Bug #6146)
FIX: In CircuitChangJobs.moveSelectedText replace small dX, dY by 0.25.
It is minimal granularity of TextDescriptor text offset.
BUG: (6/19/14, GVG) Character "/" should not be allowed for cell/group names in
DELIB format (Bug #6159)
FIX: Don't allow "/" in library and cell names
BUG: (6/19/14, GVG) Assertion while saving General Cell Lists, part of Cell info
functionality (Bug #6165)
FIX: Filename cached before the celllists job starts.
IMP: (6/17/14, GVG) Continue the reading process when cellnames are duplicated -
ignore second version (Bug #6161)
BUG: (6/17/14, SMR) Must convert to longs for large database coordinates (Bug #6156).
FIX: Fixed VectorCache and Highlighting.
BUG: (6/17/14, DN) Large coordinates in DEF and unable to create unrouted arc (Bug #3848)
FIX: Part of the fix - provide correct values to new EPointlong in EPoint.fromGrid().
IMP: (6/17/14, DN) Hide method Topology.getArcAutoname that became public after Bug #6114
IMP: (6/16/14, GVG) Better catch of owner timed out while copying using clipboard (Bug #6147)
IMP: (6/16/14, GVG) Don't stop change job if one element in list has already
changed (Bug #6145)
BUG: (6/16/14, GVG) Change dialog doesn't set properly current selection (Bug #6144)
FIX: Assign currentlySelected accordingly in Change:reload()
IMP: (6/16/14, GVG) Better warning messaging when Layout Text can't be generated (Bug #6143)
BUG: (6/12/14, GVG) DEF after GDS: still conflicts with duplicate net names (Bug #6136)
FIX: Use name only when they are not special nets
BUG: (6/11/14, GVG) DEF after GDS: still conflicts with duplicate net names (Bug #6136)
FIX: Check first if arc with conflict name already exists.
BUG: (6/11/14, SMR) Spice/CDL uses obsolete "ignore resistors" options (Bug #6135).
FIX: Switch to using network tool preference for that.
BUG: (6/10/14, GVG) Spice with CDL misses some convert brackets tasks (Bug #6133)
FIX: Spice:getPortName() was not sanitized in case of a non-bus + global treatment
BUG: (6/10/14, SMR) Verilog export has minor issues (Bug #6066).
FIX: Implemented patch from GNU user.
BUG: (6/9/14, GVG) Out of range for lineList: ErrorLogger (Bug #6131)
FIX: Fixed index access.
************************* Version 9.05-q: ******************************
IMP: (6/4/14, GVG) DEF: better detection of existing networks already available
to avoid using the same name (Bug #6106). More changes to avoid arcs with
same names.
BUG: (6/3/14, SMR) SOG takes too long to preprocess (Bug #6122).
FIX: Ignoring pin geometry in blockage calculation.
BUG: (6/2/14, SMR) DEF import cannot handle POLYGON Nets (Bug #6107).
FIX: Now handle them.
IMP: (6/2/14, GVG) SoG: consider HorizontalMetals and VerticalMetals as input values
in control setup (Bug #6111)
BUG: (6/2/14, GVG) SoG: IndexOutOfBoundsException in ErrorLogger (Bug #6119)
FIX: Detecting the case.
BUG: (6/2/14, SMR) SOG cell properties dialog should warn when net names are unknown (Bug #6108).
FIX: Now warns during import and when adding individual nets.
BUG: (5/30/14, GVG) DEF: cells might be set with the current technology available in TechPalette (Bug #6115)
FIX: Set the technology after cell is read in
IMP: (5/30/14, GVG) DEF: if instance already defined, assign name provided in DEF file (Bug #6114)
IMP: (5/30/14, GVG) DEF: should support COVER option for COMPONENTS (Bug #6113)
IMP: (5/29/14, GVG) DEF: better detection of existing networks already available
to avoid using the same name (Bug #6106)
IMP: (5/29/14, GVG) SoG: standard alone mode needs a mechanism to show progress (Bug #6103)
IMP: (5/29/14, GVG) SoG standard alone - ability to control spine option (Bug #6104)
IMP: (5/29/14, GVG) Add HSPICE Calibre option (Bug #6102)
BUG: (5/29/14, SMR) SOG cell properties needs multiple-select ability (Bug $6101).
FIX: Now handles multiple selection.
BUG: (5/28/14, SMR) SOG router reports inconsistent length data (Bug #6099).
FIX: Calculate length as segments are planned.
BUG: (5/28/14, SMR) Need smarter spine detection and handling in SOG router (Bug #6097).
FIX: Now handle areas with extreme height/width ratio.
IMP: (5/27/14, SMR) Added Spice output for Xyce engine (Bug #6094).
BUG: (5/23/14, GVG) NPE in standard alone SoG router if tech can't be loaded (Bug #6089)
FIX: detect the case
IMP: (5/23/14, GVG) Ability to rerun failed nets with new limit value in standard alone
SoG router (Bug #6088)
IMP: (5/22/14, SMR) SOG Router now does spine routing (Bug #6085).
IMP: (5/22/14, GVG) Ability to abort display of a large list of items in Select Object dialog (Bug #6084)
Ask user if display operation should continue if number of items > 1000 (arbitrary number).
BUG: (5/20/14, SMR) SOG router fails because of internal null value (Bug #6070).
FIX: Catch null case and handle it.
IMP: (5/19/14, GVG) SoG Import file to setup contact inclusion and metal orientation (Bug #6080)
Keywords HorizontalEven and ContactInclusion available now
BUG: (5/19/14, SMR) Display of text with linefeeds is inaccurate (Bug #6079)
FIX: GNU user patched ExecDialog.java
************************* Version 9.05-p: ******************************
BUG: (5/14/14, GVG) Verilog not writing cells with template when "No empty cell" option is on (Bug #6066)
FIX: Detect properly when cell has a Verilog template to avoid rejecting it if option is on.
BUG: (5/14/14, GVG) SoG properties importer should convert <> to [] (Bug #6065)
FIX: Convert net names
BUG: (5/14/14, SMR) NGSpice output doesn't display right in waveform window (Bug #6064).
FIX: Fixed endian problems (fix submitted by Holger Wachtler).
BUG: (5/13/14) EDIF: Electric should create an open polygon with information included here (Bug #5944)
FIX: Use open-polygon art node for these definitions
IMP: (5/12/14, GVG) Better message when text size is not valid in EDIF (Bug #6057)
BUG: (5/12/14, GVG) EDIF ignores (orientation R90) (Bug #5943)
FIX: Made value available when Exports/Annotation objects are created.
BUG: (5/9/14, GVG) EDIF writer ignores angle for annotations (Bug 6053)
FIX: Analyze rotation associated with TextDescriptor
BUG: (5/9/14, GVG) Electric can't import own exported EDIF (Bug 6050)
FIX: Making sure Exporter doesn't write empty GDS values
IMP: (5/9/14, GVG) Detection of invalid integer definition in EDIF - adding # for comments (Bug #6052)
Adding detection of ")" or empty string. Added # for comments.
BUG: (5/7/14, GVG) NPE in transforming from one tech to another (Bug #6049)
FIX: Detecting if directory is hidden
IMP: (5/6/14, GVG) SoG: give preference to list of arcs to route than values from file (Bug #6046)
BUG: (5/6/14, SMR) SOG router must adjust contact widths for wider arcs (Bug #6043).
FIX: Now generates oversize contacts.
IMP: (5/6/14, GVG) Assertion in case no layer with rules are found while DRC'ing layout (Bug #6037)
Replacing assertion by warning message in Debug mode
IMP: (5/5/14, GVG) New X contact for top layer and AP (Bug #6044)
IMP: (5/5/14, SMR) Using SOG cell properties (Bug #6034).
BUG: (5/2/14, GVG) Assertion in case no layer with rules are found while DRC'ing layout (Bug #6037)
FIX: Better warning message for now. Adding DRC rules to AP layer in latest tech (see Bug #6036)
IMP: Redefine contact12 layer in latest private tech as ART (Bug #6036)
IMP: (5/1/14, SMR) Improved SOG cell properties (Bug #6034).
IMP: (4/29/14, GVG) Improve technology converter for internal usage (Bug #6018)
IMP: (4/29/14, GVG) Skip hidden files in DELIB (Bug #6017)
Using File.isHidden() function
IMP: (4/29/14, GVG) NPE in JELIB parser (Bug #6016)
Catching it as error now.
************************* Version 9.05-o: ******************************
IMP: (4/28/14, SMR) Improved messages in the sea-of-gates debugger (Bug #6013).
IMP: (4/24/14, GVG) SoG standard alone tool: ability to accept only certain primitives (Bug #6006)
BUG: (4/24/14, SMR) Undo operates differently in text windows, confuses the user (Bug #5978).
FIX: Have TextWindow.undo() and redo() give more descriptive errors.
BUG: (4/23/14, GVG) Component Menu doesn't display proper NodeProto function from Popup group (Bug #6002)
FIX: Use the function name for the selection
BUG: (4/22/14, GVG) SoG reports zero length of routed wires (Bug #5980)
FIX: Catching length value before clearing resolution structures
BUG: (4/22/14, GVG) Contact size in VerticalRoute.buildRoute() should consider contact rotation (Bug #5999)
FIX: Detect 90/180 rotation and adapt contact size. Other values are not considered
IMP: (4/22/14, GVG) Stitch tool should properly compute the rotation of the contact nodes (Bug #5998)
Using $horizontal to take the decision.
BUG: (4/22/14, AM) NCC exportsToIgnore does not work on parts of bus exports (Bug #5591).
FIX: Added Adam's patch to tool/ncc/netlist/NccNetlist.getExportsAndGlobals()
BUG: (4/22/14, AM) NCC exportsToIgnore are not applied to globals (Bug #5413).
FIX: Added Adam's patch to tool/ncc/netlist/NccNetlist.getExportsAndGlobals()
BUG: (4/22/14, SMR) GDS preference "Collapse VDD/GND pin names" should be in Export (Bug #5997).
FIX: Moved the preference and enforce that digits must follow the names to be merged.
BUG: (4/21/14, GVG) Unable to change arc layer (Bug #5993)
FIX: Don't call CircuitChangeJobs.replaceNodeInsts if no node replacements are available
BUG: (4/21/14, SMR) GDS skeletonization import should preserve top-level pins (Bug #5974)
FIX: Fixed skeletonization to get sizes right (more fixes)
BUG: (4/19/14, GVG) Stitch generation: NPE if bottom layer not found (Bug #5985)
FIX: Just detect case and abort generation
IMP: (4/18/14, GVG) SoG standard alone tool: ability to ignore certain primitives (Bug #5984)
This is not meant to be available via the GUI.
BUG: (4/18/14, GVG) SoG standard along tool not using user's preferences (Bug #5983)
FIX: Passing structure to SeaOfGatesEngine.routIt now.
BUG: (4/18/14, GVG) NPE in SoG GUI (Bug #5982)
FIX: Detect if no cell is available in GUI. Better not to open a dialog to prevent NPEs.
IMP: (4/17/14, GVG) Better control of scale used while importing technologies from LEF (Bug #5979)
BUG: (4/16/14, SMR) GDS Skeleton import should preserve exports (Bug #5974).
FIX: Top-level exports are now kept.
BUG: (4/16/14, GVG) Get GDS Export/Import of annotations working (Bug #5973)
FIX: Consistent usage of variables to read text.
IMP: (4/16/14, GVG) Consolidate usage of default text in GDS import/export (Bug #5972)
BUG: (4/16/14, GVG) gdsOutDefaultTextLayerSetting is being used for export pins (Bug #5969)
FIX: Code refactored.
************************* Version 9.05-n: ******************************
BUG: (4/14/14, GVG) GDS Include Text option should be available as valid
for in/out streams (Bug #5968)
FIX: Moved option to the section valid for import/export (bottom section)
IMP: (4/14/14, GVG) Modify pin and text layers in private tech (Bug #5967)
BUG: (4/10/14, SMR) Verilog output insists on "vdd/gnd" labels (Bug #5946).
FIX: Allow existing net names, favoring "vdd/gnd" if a choice.
IMP: (4/8/14, GVG) Enable commentGraphics in EDIF input (Bug #5941)
First attempt to parse this information
BUG: (4/7/14, GVG) CDL_TEMPLATE_KEY not available from interface (Bug #5939)
FIX: Made template available under tools -> Simulation(Spice) -> Set CDL
IMP: (4/7/14, GVG) EDIF and multiple pins for the same Export in the icon view (Bug #5935)
Fixing changes that broke the EDIF 5 regression.
BUG: (4/7/14, SMR) Verilog import fails if referenced cells are not found (Bug #5921).
FIX: Now generates dummy icon cells when none can be found.
IMP: (4/4/14, GVG) EDIF and multiple pins for the same Export in the icon view (Bug #5935)
First set of changes
BUG: (4/3/14, GVG) Remove "OutputBackupRedundancy is accessed from null" (Bug #5933)
FIX: Catch IOTool.getBackupRedundancy() before the standard alone tool starts
IMP: (4/3/14, SMR) SOG hor/ver usage can now be forced (Bug #5932).
IMP: (4/2/14, GVG) Make setHorizontalEven available to standard along SoG tool (Bug #5928)
BUG: (4/2/14, SMR) Verilog reader doesn't handle forward references (Bug #5922).
FIX: Handles it now.
BUG: (4/2/14, SMR) Verilog reader doesn't handle all assign statements (Bug #5920).
FIX: Added some, but still don't handle behavioral verilog.
IMP: (3/31/14, GVG) Verilog reader: allow instantiate submodule before being define (Bug #5922)
FIX: Adding temp variable to control that. It should be a User preference.
BUG: (3/31/14, SMR) DEF import places cell instances wrong (Bug #5918).
FIX: Make sure lower-left of instance is at DEF coordinate.
BUG: (3/28/14, DN) SOG router should preserve network names (Bug #5906)
FIX: Sort arcc in SeaOfGatesCellBuilder.
BUG: (3/27/14, GVG) ArrayIndexOutOfBoundsException while extracting geometry (Bug #5909)
FIX: Detecting the index==-1 during extraction.
IMP: (3/27/14, GVG) DEF Import should not open another window if cell already exist (Bug #5907)
************************* Version 9.05-m: ******************************
BUG: (3/15/14, GVG) ArgumentsParser not parsing properly options without argument (Bug 5904)
FIX: better detection of -1 when no arguments are provided.
IMP: (3/25/14, GVG) Ability to provide more information in case of running in debug mode
for standard alone tool (Bug #5903)
BUG: (3/25/14, SMR) Zoomed-out text sizes are wrong (Bug #5897).
FIX: Approximate text width when it is less than 1 pixel in height.
IMP: (3/25/14, SMR) DEF import now keeps net names (Bug #5902).
IMP: (3/24/14, GVG) XML tech reader should warm if GDS layer information is overwritten
by previous definition (Bug #5896)
BUG: (3/24/14, SMR) LEF import reuses pins that are on the wrong layer (Bug #5890).
FIX: In LEF.readPort(), make sure layers are compatible.
BUG: (3/17/14, GVG) ArgumentsParser wrongly detecting "-" if they are part of file path (Bug #5891)
FIX: Detect the first consecutive "-" out of the argument instead of using lastIndex
IMP: (3/14/14, GVG) Better control of LEF reading process in case of "minor" errors in the input (Bug #5885)
First attempt.
IMP: (3/13/14, GVG) Java8 and mismatches in IO regression results (Bug #5827)
Added class JavaCompatiblity to track changes due to Java8 release.
IMP: (3/10/14, GVG) SoG preferences should include new technologies (Bug #5876)
BUG: (3/10/14, GVG) NPE in SoG parameters setting (Bug #5874)
FIX: Detect if a cell has been selected.
BUG: (3/6/14, GVG) SoG: NPE if no cell is present (Bug #5866)
FIX: Detect cases where technology is not loaded yet
BUG: (3/6/14, GVG) Number exception while creating tech from GDS map (Bug #5843)
FIX: Adding better message to determine where the error is.
BUG: (3/5/14, GVG) Don't generate like ",X/Yp" as GDS values (Bug #5844)
FIX: Detecting case where no normal layer is found.
IMP: (3/5/14, GVG) Allow layer type "dummy" as GDSLayerType (Bug #5859)
IMP: (3/2/14, SMR) Improve use of inefficient Network methods (Bug #5841).
BUG: (2/28/14, GVG) NPE while importing LEF to extract tech info (Bug #5837)
FIX: Detect when tech=null in LEFDEF:getArc()
BUG: (2/28/14, GVG) IllegalStateException after deleting cells and leaving NCC dialog open (Bug #5836)
FIX: Return with null Highlighter if cell is not linked.
************************* Version 9.05-l: ******************************
BUG: (2/25/14, SMR) DEF output can loop badly (Bug #5826).
FIX: Reduced n-squared network problem.
BUG: (2/25/14, GVG) DRC crashes on built-in sample cells library (Bug #5824)
FIX: Detect better mixture of different technologies in the layout cell.
BUG: (2/19/14, GVG) NPE in Gerber import (regression mode) (Bug #5801)
FIX: Detect the null graphics in regression mode.
BUG: (2/18/14, GVG) Cell compare tool not adding mismatches to auxiliary cell (Bug #5797)
FIX: Put entire algorithm in a Job class - collect mismatches in another PolyMerge.
BUG: (2/16/14, SMR) Gerber import has bugs (Bug #5796).
FIX: Now use curved primitives and now have PixelDrawing handle 2-point polygons.
BUG: (2/14/14, DN) nodes order assertion after SeaOfGatesCellBuilder (Bug #5766)
FIX: Pull auto-increment out of assertion..
IMP: (2/13/14, GVG) Ensuring valid technology for "FillCellTest still failling" (Bug #3951)
IMP: (2/13/14, GVG) More functionality to check layout resistors defined in XML tech (Bug #5740)
Adding the same check in NetCell::internalConnections and NetSchem::internalConnections.
IMP: (2/13/14, GVG) Adding ability to get factory values in SeaOfGates preferences.
BUG: (2/11/14, DN) nodes order assertion after SeaOfGatesCellBuilder (Bug #5766)
FIX: Use STRING_NUMBER_ORDER.
BUG: (2/10/14, GVG) SoG as standard alone doesn't generate same routing as doing it from GUI (Bug #5766)
Some preferences changes.
BUG: (2/3/14, SMR) LEF and DEF fail to read VIA rules (Bug #5765).
FIX: Now handle rules as well as rectangles.
BUG: (2/3/14, GVG) At least DEF writer should use same metal names rather than
forcing METAL<level #> (Bug #5720)
IMP: (2/3/14, SMR) Modified the tsmcSun technology to use proper transistor types (Bug #5749).
BUG: (1/30/14, GVG) Verilog should include first wires definition before user-specified
code that use them (Bug #5758)
FIX: Make sure wire declaration is before includeTypedCode(cell, VERILOG_CODE_KEY
BUG: (1/30/14, SMR) Finding text in selected objects is buggy (Bug #5757).
FIX: Improved "find text" dialog.
IMP: (1/30/14, GVG) Different layer for complex resistor in private technology (Bug #5756)
BUG: (1/29/14, GVG) User Preferences dialog pushed back if layer
color change involves multiple layers (Bug #5753)
FIX: Don't pass cell EditWindow to JOptionPane.
IMP: (1/29/14, SMR) LEF export now understands 2-level hierarchy (Bug #5720)
BUG: (1/28/14, GVG) Color reset in layers doesn't work (Bug #5739)
FIX: Force the closing of the preferences dialog (avoid in-place code)
BUG: (1/28/14, SMR) NCC doesn't recognize all transistor types (Bug #5749).
FIX: Fixed com.sun.electric.tool.ncc.netlist.PrimitiveNameToFunction.java
************************* Version 9.05-k: ******************************
IMP: (1/27/14, GVG) New sophisticated resistor for internal tech (Bug #5741)
More modifications.
BUG: (1/24/14, GVG) Wrong calculation of number of cuts when space is limited (Bug #5742)
FIX: Added function MultiCutData::getNumCutsAlong()
IMP: (1/24/14, GVG) New sophisticated resistor for internal tech (Bug #5741)
IMP: (1/24/14, GVG) More functionality to check layout resistors defined in XML tech (Bug #5740)
Adding assertions for now. No checking functionality added yet.
IMP: (1/23/14, GVG) Ability to ignore technology information in LEF files (Bug #5734)
IMP: (1/22/14, SMR) Now have DEF export (Bug #5720).
IMP/MAP: (1/22/14, GVG) Store in ErrorLogger warnings related to non-Manhattan geometries found by SoG (Bug #5731)
BUG: (1/17/14, GVG) NPE while NCC two schematics (Bug #5723)
FIX: Prepare layout data with default layout tech for technology if input cells are schematics.
IMP: (1/17/14, DN) "database/geometry/bool" is translated from Scala to Java.
IMP: (1/17/14, DN) "Change" command preserves parameters in BatchChanges (Bug #5711).
BatchChanges are translated from Scala to Java.
IMP: (1/15/14, GVG) Assuming null or empty pattern if <pattern> is not defined in XML tech file (Bug #5635)
IMP: (1/15/14, SMR) "Change" command has option to preserve parameters (Bug #5711)
BUG: (1/10/14, DN) Undoing "Change" crashes (Bug #5684)
FIX: Don't keep IconNodables in NetSchem.
IMP: (1/9/14, GVG) Printing layers of selected elements in cell (Bug #5699)
Another option under Cell -> Cell Info was added for selected items.
BUG: (1/9/14, GVG) DRC doesn't notice wide rules at different levels of hierarchy (Bug #5694)
FIX: Fix bug in getSpacingDistances which didn't compute the largest size of a polygon. Changed getMaxSurround()
BUG: (1/9/14, GVG) StatusBar displays wrong technology with more than 1 EditWindow available (Bug #5698)
FIX: Get tech from cell if cell is not null.
BUG: (1/9/14, GVG) NPE in LayerVisibility (Bug #5697)
FIX: No solution yet, just added an extra assertion
************************* Version 9.05-j: ******************************
IMP: (1/8/14, GVG) DEF reader should check whether exports have been ignored due to no location by LEF IO (Bug #5693)
BUG: (1/6/14, GVG) GDS and visibility layers (Bug #5664)
FIX: Caching layers visibility using another method as new LayerVisibility() can't be used
since it takes values from User preferences.
IMP: (1/6/14, GVG) DEF to use already defined Export if location matches (Bug #5685)
BUG: (1/6/14, GVG) NPE in fill layout due to serialization problem (Bug #5683)
FIX: Make TechType:Transistor serializable.
BUG: (1/2/14, SMR) SOG Router blocks ports too much (Bug #5678).
FIX: Add more blockage around ports to keep them clear.
BUG: (12/21/13, DN) More "invalid argument portProto" - continuation of 5388 - while writing Verilog (Bug #5659)
FIX: In Network.getPortList get network by subname, not by port index.
BUG: (12/19/13, GVG) LEF reader doesn't guarantee correct tech selected (Bug #5657)
FIX: Make sure the proper tech is assigned
IMP: (12/16/13, GVG) DEF should look for existing NodeInsts before creating one (Bug #5651)
IMP: (12/13/13, GVG) Moving latest reader to proper place.
BUG: (12/11/13, SMR) SOG Router stack-overflows during node extraction (Bug #5638).
FIX: Use arrays instead of the call stack.
BUG: (12/11/13, SMR) SOG Router fails if a contact is missing (Bug #5629).
FIX: Warn the user and ignore layers above the contact.
IMP: (12/10/13, GVG) Transforming <> into [] in GDS Input if cadence compatibility is on (Bug #5637)
BUG: (12/10/13, GVG) Merge in Cell Import should not depend on library save on disk (Bug #5636)
FIX: Not forcing a change to ask for the merge.
************************* Version 9.05-i: ******************************
IMP: (12/9/13, SMR) LEF/DEF need option to ignore ungenerated pins (Bug #5630).
FIX: Added preference in DEF, added LEF preferences panel.
IMP: (12/4/13, SMR) New "Routing Exclusion" node in Generic technology
used by SOG router to exclude different layers in the area (Bug #5568).
BUG: (12/4/13, SMR) The cmos90 technology issues errors during startup (Bug #5616).
FIX: Fixed the errors (private technology, so no details are available).
IMP: (12/3/13, SMR) SOG router warns when it finds nonmanhattan geometry (Bug #5568).
BUG: (12/3/13, SMR) SOG router issues R-Tree errors (Bug #5613).
FIX: Fixed SeaOfGatesEngine.Wavefront.createRoute to be thread safe.
BUG: (12/2/13, SMR) ALS waveform regression fails (Bug #5609).
FIX: Fixed regression script and expected results.
BUG: (11/25/13, SMR) LEF import ignores empty PIN statements (Bug #5594).
FIX: Create pins at origin and warn the user.
IMP: (11/22/13, GVG) Increase number of metals in Electric (Bug #5581)
More changes in XSD file as well as Layer.java
BUG: (11/22/13, GVG) Improve LEF reader to skip VIA section properly (Bug #5589)
FIX: Apply same rule as for VIARULES.
BUG: (11/20/13, SMR) Maze router crashes (Bug #5584).
FIX: In routeNet(), convert ERectangle to Rectangle2D.
IMP: (11/19/13, GVG) Increase number of metals in Electric (Bug #5581)
BUG: (11/19/13, GVG) NPE while importing Tech info from LEF (Bug #5580)
FIX: Detect if curTech is null
BUG: (11/19/13, SMR) DEF import doesn't handle RECT nets (Bug #5576).
FIX: Handles that and also COMPONENTS with no location.
BUG: (11/18/13, GVG) NPE in LEF import (Bug #5577)
FIX: Detect when layerWidthsFromLEF is not initialized
BUG: (11/18/13, GVG) NPE reading a particular DEF (Bug #5575)
FIX: Detect the case when lay info is null
BUG: (11/12/13, SMR) Restoring stimuli from disk doesn't show all data (Bug #5551).
FIX: Fixed SimulationTool.restoreStimuli() to call "fillScreen()" when done.
BUG: (11/7/13, SMR) NCC "blackbox" directive prints even with no message (Bug #5538).
FIX: Fixed NccBottomUp.hasBlackBoxAnnotation() to ignore zero-length strings.
BUG: (11/7/13, SMR) Zero-length arcs should use port angle if sensible (Bug #5537).
FIX: ArcInst.newInstanceNoCheck() does this.
IMP: (11/5/13, GVG) Added busyCursor to Network tool to provide info to user
if tool is still working on big design (Bugs #5215 and #5219)
BUG: (11/5/13, SMR) Some technologies have zero port angle ranges (Bug #5529).
FIX: Fixed the Artwork, Schematic, and Bipolar technologies.
************************* Version 9.05-h: ******************************
IMP: (11/4/13, GVG) Adding ability to handle poly resistors with 3 terminals (Bug #5526)
BUG: (10/28/13, SMR) Node GetInfo fails when units are not scalable (Bug #5492).
FIX: Use proper "atof" method when converting back.
BUG: (10/22/13, SMR) Spice output handles cell names that start with digits wrong (Bug #5481).
FIX: Now call "getSafeCellName()" when writing "X" line cell names.
BUG: (10/22/13, SMR) Want to control visibility of simulation probe layer (Bug #5476).
FIX: Now listed in the layers tab of the sidebar.
IMP: (10/21/13, GVG) Ability to read Calibre DESIGNrev files (Bug #5450)
Stable version. simProbeNode can be defined with outline now
************************* Version 9.05-g: ******************************
BUG: (10/16/13, GVG) NPE while Writing Verilog (Bug #5463)
FIX: Detecting if module exists and return it in parseModule();
IMP: (10/11/13, GVG) Ability to read Calibre DESIGNrev files (Bug #5450)
Preliminary version.
BUG: (10/1/13, SMR) Preferences fail to save (Bug #5429).
FIX: Enhanced error message to help user figure out solution.
BUG: (10/1/13, SMR) Sea of gates router gives spurious errors (Bug #5428).
FIX: Cleaned up messages.
IMP: (9/26/13, SMR) Place and Route works for Verilog (Bug #3567).
************************* Version 9.05-f: ******************************
IMP: Add two new layers to latest 40nm tech (Bug #5410)
BUG: (9/17/13, SMR) 3D view doesn't draw everything (Bug #5398)
FIX: View3DWindow.View3DEnumerator.enterCell() shouldn't exit on zero-size error.
BUG: (9/17/13, GVG) IllegalArgumentException while building image icons (Bug #5397)
FIX: Detect the case and return null
BUG: (9/12/13, DN) More "invalid argument portProto" (Bug #5388).
FIX: Treat IconNodeInsts specially.
BUG: (9/12/13, DN) IndexOutOfBoundsException related to invalid argument portProto (Bug #5387).
FIX: Check for IconOfParent.
BUG: (9/5/13, DN) Invalid argument portProto in basic std libraries here (Bug #5364).
FIX: Simplify NetworkTool.getNetworksOnPort().
Use Netlist.getNetwork(Nodable no, Name portName) instead of Netlist.getNetwork(Nodable no, PortProto pp, int busIndex).
BUG: (9/4/13, DN) Electric should detect export inconsistencies between icons and schematics (Bug #5331)
FIX: Minor fix: warning on '<' and '>' in name.
BUG: (8/29/13, DN) ArrayIndexOutOfBoundsException in renaming icon instance (Bug #5330)
FIX: When IconNodeInst is passed as Nodable, NetSchem.getNetMapOffset(Nodable,PortProto,int)
determines correct Nodable and prints warning in debug mode.
BUG: (8/28/13, GVG) ArrayIndexOutOfBoundsException in renaming icon instance (Bug #5330)
FIX: Detect out of array in NameImpl::subname()
BUG: (8/22/13, GVG) TimingAnalysisTest failures (Bug #3950)
FIX: Calling the correct function with TimingAnalysisTest:getFunctionName()
************************* Version 9.05-e: ******************************
IMP: (8/22/13, GVG) Add four new layers to latest 40nm tech (Bug #5317)
BUG: (8/20/13, GVG) Flat option in GDS output doesn't check GDS:skipLayer() function (Bug #5313)
FIX: In flat use GDS:skipLayer() in GDS:writeRecursively() as well.
BUG: (8/14/13, GVG) wiringShortcuts not working if the last tech in the palette is not layout (Bug #5298)
FIX: Use cell.getTechnology in ClickZoomWireListener.wireTo()
BUG: (8/14/13, GVG) Wrong technology when setting visibility in metal layers (Bug #5297)
FIX: Pass the Tech class to the function.
IMP: (8/14/13, GVG) ICC export and vias (Bug #5275). Special case for array of vias.
************************* Version 9.05-d: ******************************
IMP: (8/8/13, GVG) In case of long subcircuit names, truncate at the beginning in SPICE/CDL (Bug #5290)
FIX: Characters in the front are removed in Spice:getUniqueCellName()
IMP: (8/7/13, GVG) Name of cdltemplate file should match name provided in dialog box (Bug #5288)
BUG: (8/6/13, DN) Ability of limit # of highlights in big designs (#Bug 5219)
FIX: NetworkHighlighter don't use Highlighter because it installs itself as DatabaseListener.
IMP: (8/2/13, GVG) Add warnings with layers that being ignored due to visibility setup (Bug #5276)
FIX: Added layer warnings in errorLogger structure.
BUG: (8/1/13, SMR) Spice import doesn't handle single files (Bug #5229)
And it always places after importing (Bug #5270).
FIX: Spice import command is now two different commands:
"Spice Deck (Single file)" and "Spice Decks (Whole directory)"
Also, new Spice preference "Use current placement algorithm after reading"
IMP: (7/31/13, GVG) Finish visibility option during GDS Import (Bug #5251)
BUG: (7/29/13, GVG) NPE in DoAllRoutesJob (Bug #5266)
FIX: Two changes: detect NULL and search network with contains rather than equals
IMP: (7/28/13, SMR) Added "Edit / Arc / Connect Arcs that Cross Named Network..." command (Bug #5264).
IMP: (7/25/13, GVG) Ability to filter layers included in GDS Export by visibility (Bug #5251)
New preference valid for import/export
BUG: (7/24/13, GVG) Can't import Spice decks if folder name contains whitespace (Bug #5241)
FIX: Use TextUtils.decodeString() to guarantee proper treatment of whitespace in folder names.
************************* Version 9.05-c: ******************************
IMP: (7/24/13) Appending library name to Spice cells (Bug #5238)
FIX: Forcing isLibraryNameAlwaysAddedToCellName=true in Spice rather than am user preference.
BUG: (7/23/13, DN) NotSerializableException after undoing Ctrl-C (Bug #5237)
FIX Combined listener WindowFrame.ElectricEventListener. Clean geomList and textList in Clipboard.
IMP: (7/23/13, GVG) isLibraryNameAlwaysAddedToCellName=true in Spice.
It should be soon controlled by preference.
BUG: (7/23/13, GVG) NPE after importing Spice Decks (Bug #5235)
FIX: Detecting NULL case.
BUG: (7/23/13, GVG) NPE in importing files if folder has space in its name (Bug #5234)
FIX: Detect when File.list() returns null
BUG: (7/22/13, GVG) Can't import Spice deck at all (Bug #5229)
FIX: wholeDirectory=false in FileMenu.importLibraryCommand.
IMP: (7/19/13, DN) Avoid duplication of EditPreferences.workingDirectory and User.getWorkingDirectory().
BUG: (7/19/13, SMR) GDS map export crashes on unknown layers (Bug #5220).
FIX: It is correct to test the result of getGDSValues().
IMP: (7/18/13, GVG) New keyword in Spice for poly resistors (Bug #5222)
Changed the strings in io.output.Spice.java
************************* Version 9.05-b: ******************************
BUG: (7/17/13, SMR) GDS skeleton import uses too much memory (Bug #5197).
FIX: Optimized GDS import to skeletonize faster and with less memory.
IMP: (7/17/13, DN) Want to change the precision of numbers in netlists (Bug #5140).
FIX: CodeExpression can't cache its spiceText now. Explicit field OutputPreferences.unitsPrecision .
BUG: (7/16/13, DN) New: ArrayIndexOutOfBoundsException in CellRevisionConn (Bug #5212)
FIX: Don't getBuffer in CellRevisionConn.putKilled when node is deleted.
BUG: (7/16/13, DN) Assertion in makeUnits (Bug #5207)
FIX: EditingPreferences is a thread-local variable.
BUG: (7/16/13, GVG) Extra / in CDL output (Bug #5206)
FIX: Undoing the change done on 7/15/2013 as extra "/" is also found
in CDL templates (in orange cells).
BUG: (7/15/13, GVG) Extra / in CDL output (Bug #5206)
FIX: Remove the line in io/output/Spice.java when CDL=true
IMP: (7/15/13, SMR) Want to change the precision of numbers in netlists (Bug #5140).
Another set of changes.
BUG: (7/12/13, GVG) GDS Import function doesn't work with big files (Bug #5196)
FIX: Don't use getContentLength() since it returns "int". Use getContentType instead.
IMP: (7/12/13, GVG) Better messages when import failed due to invalid size in file (Bug #5195)
Added message explaining the case.
IMP: (7/11/13, GVG) Better location of MemoryInfo in StatusBar (only available in debug mode) (Bug #5190)
Moved field to the left and added max memory allocated (-Xmx option)
IMP: (7/10/13, GVG) Regression script modified (Bug #5189)
BUG: (6/28/13, GVG) Timeout while importing electricPrefs.xml as projsettings.xml (Bug #4666)
FIX: Detecting if first line correspond to a Project Preferences file (headers in those files
don't follow XML standard)
BUG: (6/28/13, GVG) NPE in chooseInputFile - can't import project preferences (Bug #5168)
FIX: Detecting the null case.
************************* Version 9.05-a: ******************************
BUG: (6/27/13, GVG) Order of Spice signals doesn't longer match with values found in old LVS file (Bug #5152)
FIX: Force lexicographical order in private menu controlled by option (see private menu dialog).
IMP: (6/27/13, GVG) Verilog netlist of empty cells (Bug #5150)
Added via User option in Verilog.
IMP: (6/26/13, GVG) First changes for Verilog netlist of empty cells (Bug #5150)
IMP: (6/25/13, SMR/GVG) Want to change the precision of numbers in netlists (Bug #5140).
New User preference in Units section added.
BUG: (6/21/13, SMR) Rotated nodes in menu should be used when changing layers (Bug #5139).
FIX: Fixed VerticalRoute.buildRoute()
************************* END OF Version 9.04, GNU RELEASE *************
************************* Version 9.04-p: ******************************
IMP: (6/19/13, SMR) Added ability to save selections by clicking on
the selection area of the status bar (Bug #5130).
BUG: (6/18/13, GVG) ReadProjectSettingsFromLibrary' cannot serialize parameter (Bug #5122)
FIX: Not allowing EditPreferences in ReadLibrary Job. Undoing change done for (Bug #3654)
BUG: (6/18/13, SMR) Spice output may write bus notation (Bug #5121).
FIX: Fixed getPortName() so global names do not substitute arrays.
IMP: Added DFTM export for parallel routing (Bug #5120).
IMP: Two new transistors were added to a private tech. Just leaving here
a reference for the need of 9.04-p release.
IMP: (6/13/13, GVG) Renaming Allegro functionality to Telesis since that
is the correct name. See http://www.simplescript.org/FileConverter/ReadMe.txt.
Message to inform when Allegro parameters were not found and cell is skipped.
************************* Version 9.04-o: ******************************
BUG: (6/11/13, SMR) Setting conflicts produce confusing errors (Bug #4595).
FIX: Fixed ProjSettings.readSettings() to make more sense.
BUG: (6/6/13, SMR) Regression accesses icon preferences from job (Bug #2945).
FIX: Use EditingPreferences for icon data.
BUG: (6/6/13, SMR) Reading libraries may access user preferences (Bug #3654).
FIX: Added directory information to EditingPreferences.
BUG: (6/5/13, SMR) Cells with huge text do not fill the screen (Bug #4446).
FIX: Fixed EditWindow.getBoundsInWindow() to always compute text size.
BUG: (6/4/13, SMR) GDS export for external tool interface may fail (Bug #5069).
FIX: Fixed IRDropDialog and SunAsyncMenu to turn flattening off.
BUG: (6/4/13, SMR) Round primitives do not technology-edit right (Bug #5068).
FIX: Fixed LibToTech.calcBaseRectangle() to consider circular layers
BUG: (6/3/13, SMR) Cross-library copy doesn't preserve cell groups (Bug #5064).
FIX: Fixed CellChangeJobs.copyRecursively().
************************* Version 9.04-n: ******************************
BUG: (5/29/13, SMR) Converting schematics to Bipolar crashes (Bug #5055).
FIX: Fixed ViewChanges and Technology.
BUG: (5/29/13, SMR) Long GDS strings may write incorrectly (Bug #4883).
FIX: In output.GDS.outputString(), fix string length calculation.
IMP: (5/29/13, SMR) Now have GDS export preference "Flat design" to flatten
circuit in GDS output (Bug #4970).
BUG: (5/23/13, SMR) Spice output doesn't use global partition names properly (Bug #5044).
FIX: Use global partition name instead of power/ground export name when
explicitly writing port names in subcircuit headers.
BUG: (5/21/13, SMR) Spice output doesn't always parameterize cell names (Bug #5034).
FIX: In output.Spice.parameterizedName(), change "getDefinedParameters()"
to "getParameters()".
************************* Version 9.04-m: ******************************
BUG: (5/19/13, GVG) Flattening of L/R mirrored zero length arcs (Bug #5027)
FIX: Detecting cases and not allowing extended tail/head.
IMP: (5/8/13, SMR) Added "curved pin" bit to primitives and use it
when wiring a bend (Bug #4985).
BUG: (5/8/13, SMR) LEF crashes when layer names are bad (Bug #4984).
FIX: Catch exceptions in LEFDEF.setupPolyLayer().
BUG: (5/7/13, GVG) NPE in ManipulateExports (Bug #4982)
FIX: Detect the null export entry
BUG: (5/7/13, SMR) Extraction fails when there is outline information below (Bug #4981).
FIX: Fixed CellChangeJobs.extractOneLevel() to handle "trace" information properly.
IMP: (5/3/13, GVG) Ability to flat design in GDS IO (Bug #4970).
First changes - no working yet.
BUG: (5/1/13, SMR) Creating a new CVS repository uses wrong extension (Bug #4963).
FIX: Changed tool.project.Project.writeCell() to use the proper extension.
BUG: (4/30/13, GVG) ioReadGen and ioBasic don't run from test menu (Bug #4697)
FIX: Wrong reference value/directory path.
BUG: (4/30/13, GVG) "." should be considered as invalid character in library name (Bug #4959)
FIX: Fixed readExternalLibraryFromFilename to not consider characters after "." if they
don't match with FileType extensions.
************************* Version 9.04-l: ******************************
BUG: (4/29/13, GVG) Calling wrong external drc script in full chip DRC for new tech
FIX: Correct script now
BUG: (4/29/13, SMR) CIF roundflashes are written too small and don't read back (Bug #4956).
FIX: In output.CIF.writePoly(), double the radius and
in input.CIF.outputFlash() create new points for each vertex.
BUG: (4/26/13, SMR) Zero-length arcs don't extract properly (Bug #4940).
FIX: Added orientation to CellChangeJobs.replaceExtractedArcs().
BUG: (4/24/13, SMR) Primitives with ports outside highlighted area select badly (Bug #4936).
FIX: Improved Highlighter.distToNode() to handle these ports.
BUG: (4/24/13, SMR) Extracting rotated cell instances fails (Bug #4933).
FIX: In CellChangeJobs.doArbitraryExtraction() call extractOneLevel()
with node orientation, not IDENT.
BUG: (4/24/13, SMR) Renaming a cell may ask about cell group changes but ignores the choice (Bug #4893).
FIX: Remove code that offers a choice...there is none.
************************* Version 9.04-k: ******************************
BUG: (4/17/13, GVG) Electric doesn't detect in transistors/diff contacts do have
correct correct set of layers (Bug #4919)
IMP: (4/16/13, GVG) Adding DRC full chip menu to new tech.
BUG: (4/15/13, GVG) SUE still doesn't parse well schematics with parameter definitions. (Bug #4912)
FIX: Making SueConvertsExpressions true by default.
************************* Version 9.04-j: ******************************
IMP: (4/15/13, GVG) Last changes to get RCX working for the new tech.
BUG: (4/12/13, DN) Broken regression: something is wrong with regression launching: unexpected NPE (Bug #4904).
FIX: Disable dummy tech.
BUG: (4/11/13, GVG) Invalid token exceptions for atto and zepto values (Bug #4900)
FIX: Added missing UnitScales. EvalSpice now gets multiplier comparing all defined units.
IMP: (4/10/13, GVG) More changes for Bug #4881. We can't longer do
equalsIgnoreCase() for UnitScale since Mega uses M rather than meg.
Added function UnitScale.equalsTo() to be consistent in TextUtils and
SpecialProperties.
IMP: (4/9/13, GVG) DRC with no Density and LVS for the new technology
BUG: (4/9/13. GVG) NPE while getting image resources (Bug #4892)
FIX: Creating ImageIcon without URL if resource can't be loaded.
BUG: (4/8/13, SMR) Project preferences do not get reset (Bug #4667).
FIX: Modified all preferences panels with "project" preferences to reset.
IMP: (4/5/13, GVG) UnitScale MEGA: "M" instead of "meg" (Bug #4881).
Making 1M to show as 1M rather than 1000k in Spice mode.
IMP: (4/4/13, GVG) Make PolyMerge serializable to compare layouts (Bug #4879)
BUG: (4/4/13. GVG) EvalSpice parses "1M" as 1 milli*-ohm rather than 1000k (Bug #4878)
FIX: don't use equalsIgnoreCase when evaluating "M" character in EvalSpice.parseNumber().
BUG: (4/3/13, GVG) Not sorting properly layer per GDS values (Bug #4875)
FIX: In LayerSortByGDSIndex.compare, the code was not getting the GDS value.
************************* Version 9.04-i: ******************************
IMP: (4/3/13, GVG) NPE if head/tail nodes can't be found in JELIB library (Bug #4874)
FIX: Detect those cases and stop the reading process.
BUG: (4/2/13, GVG) NPE in layer sorting by GDS value (Bug #4872)
FIX: LayerSortByGDSIndex should consider layers without values.
BUG: (4/2/13, GVG) Set Font in MessagesWindow can't be brought back to original/default value (Bug #4871)
FIX: Setting properly the current font.
BUG: (4/2/13, GVG) Name of resistors and transistors hard-coded (Bug #4870)
FIX: Make PrimitiveNameToFunction to accept primitive node names based on function.
BUG: (4/2/13, GVG) Conversion from TSCM to CLN assumed external libraries are defined
at the beginning of the file (Bug 4869)
FIX: This is not true for libraries with cells with versions. Extra checking removed.
IMP: (4/2/13, DN) Repeat Adam's fix in LayerDrawing.
Fix rendering of ports on mirrored icons to make it
consistent with rendering of ports on rotated icons (Bug #4808).
BUG: (4/1/13, GVG) Converting to alternate layout view crashes (Bug #4867)
FIX: Detecting cases when layers are not available in given layout technology.
BUG: (3/28/13, GVG) 3D viewer doesn't handle properly subcells with zero-area nodes inside (Bug #4853)
FIX: getZValues() returns true if a valid layer for 3D drawing was found
BUG: (3/28/13, GVG) Bad 3D transformation while rendering complex device in new technology (Bug #4852)
FIX: Not detecting zero area subcells, causing infinity radious value.
IMP: (3/28/13, GVG) "Set M<number" Visible" command should only be valid for layout tech (Bug #4844)
Printing error if number of metals in current tech is less than visibility level.
IMP: (3/28/13, GVG) Using isDefinedParameter() to determine if Units must be disabled or not (Bug #4842).
IMP: (3/27/13, GVG) Should we allow to change unit in parameters associated to icon instances? (Bug #4842)
Disabling Units pulldown if ni is a NodeInst
IMP: (3/27/13, GVG) Add ErrorLogger to SUE reader (Bug #4843)
BUG: (3/27/13, SMR) "Copy Routing Topology" may fail with busses (Bug #4841).
FIX: Analyze bus names more carefully to get a better match.
BUG: (3/27/13, DN) Can't change Units associated to parameters (Bug #4831)
FIX: Use CellGroup.updateParam(Object newValuem, Unit newUnit).
BUG: (3/26/13, GVG) Class exception if cell is included in "Delete Entire Group" option from Explorer (Bug #4839)
FIX: Don't distinguish anymore btw DeleteGroup and DeleteCell. Use same function deleteCellGroupAction()
BUG: (3/26/13, GVG) NPE when deleting ExplorerTree elements that contain cell groups (Bug #4838)
FIX: Detect the selection of cell groups and treat them differently as cells.
BUG: (3/26/13, SMR) Adding a key binding closes preferences (Bug #4835).
FIX: Do not assign parent window to "add" subwindow.
BUG: (3/25/13, GVG) SUE should add parameters found in Icon section (Bug #4837)
FIX: Detecting default values and adding them as parameters.
BUG: (3/25/13, GVG) SUE reader doesn't properly assign units to capacitance, resistors and inductances (Bug #4836)
FIX: Just detect the Unit properly in the SCHEMATIC_ section.
BUG: (3/22/13, GVG) Can't set show value in the MultiInfo dialog (Bug #4832)
FIX: The "Leave Alone" value for show is -1 rather than 0.
BUG: (3/22/13, GVG) Can't change Units associated to parameters (Bug #4831)
FIX: Delete the parameter before adding it with the new TextDescription.
IMP: (3/21/13, GVG) Allow SUE to look for subcells already defined in other libraries (Bug #4823)
BUG: (3/21/13, SMR) Bicmos and mocmossub technologies give warnings (Bug #4821).
FIX: Added second port to bicmos:Poly1_Poly2_Cap and added metal to
bicmos:Metal-1-Polysilicon-1-2-Con.
************************* Version 9.04-h: ******************************
IMP: (3/19/13, GVG) Better location of variables defined in SUE reader (Bug #4816).
BUG: (3/19/13, SMR) Should not warn about missing things from "restricted" repository (Bug #4815).
FIX: No longer warn.
IMP: (3/18/13, GVG) SUE: merge lines if they belong to text or label (Bug #4810)
Previously was done for text, now adding label.
IMP: (3/18/13, GVG) Add DCVoltage to Pulse element in spiceparts library (Bug #4809)
IMP: (3/16/13, GVG) Fixing net printing as not all ports were reported for busses (Bug 4727)
IMP: (3/13/13, AM) Fix rendering of ports on mirrored icons to make it
consistent with rendering of ports on rotated icons (Bug #4808).
IMP: (3/13/13, AM) When "Mirror Up <-> Down" and "Mirror Left <-> Right"
is invoked with only node text selected, cycle through the possible anchors
instead of doing nothing. This makes it possible to change text anchors with a
keystroke (i.e. without the "Node Properties" dialog) and doesn't use up any
additional keystrokes if the user already has one assigned to the mirroring
commands (Bug #4807).
BUG: (3/11/13, AM) Allow "wire up one level" to work from poly arcs/pins (Bug #4783).
FIX: add else-branch in ClickZoomWireListener
IMP: (3/5/13, GVG) Allegro output available (Bug 4727) - Final changes.
BUG: (3/4/13, GVG) Spice output is supposed to be case insensitive (Bug #4730)
FIX: SortNetsByName and SortAggregateNetsByName consider Topology.isCaseSensitive() now
BUG: (3/4/13, GVG) STL test failed when all Export tests are run from the test menu (Bug #4731)
FIX: Taking the technology from the cell to analyze
BUG: (3/1/13, GVG) NPE when running PNG test from menu (Bug #4728)
FIX: Detecting when context is null in this case.
IMP: (3/1/13, GVG) Allegro output available (Bug #4727)
IMP: (2/28/13, GVG) suelib* are considered as library directories (_ removed)
Allow to read longer texts that continue in the next lines without + in front. Sue allows that.
IMP: (2/27/13, GVG) LEF output to connect exports if exportsConnectedByParent is
available (Bug #4723)
IMP: (2/25/13, GVG) Adding random solid colors to layers when imported from
GDS map file.
BUG: (2/25/13, GVG) ClassCastException in About dialog box (Bug #4719)
FIX: Don't cast to String, use toString() instead
************************* Version 9.04-g: ******************************
IMP: (2/21/13, GVG) Removing a private technology and reducing number of metals in
another.
IMP: (2/21/13, GVG) Avoiding printing "null" if techId is not found during
parsing of DELIB header.
BUG: (2/20/13, SMR) Reset of Layer Preferences doesn't work (Bug #4701).
FIX: Fixed LayersTab.java
BUG: (2/18/13, GVG) Can't read DELIB files if path contains spaces (Bug #4696)
FIX: Decode filePath in case of possible encoded spaces.
BUG: (2/18/13, GVG) DELIB write can't handle spaces (Bug #4695)
FIX: Decode filePath in case of possible encoded spaces.
************************* Version 9.04-f: ******************************
BUG: (2/18/13, SMR) Verilog globals netlist wrong (Bug #4689).
FIX: Allow "glbl." in front of names, don't convert to "glbl_".
IMP: (2/15/13, GVG) TechEditor should not write empty GDS values (Bug #4685)
IMP: (2/15/13, GVG) Ability to create a tech XML file from a GDS map file (Bug #4686)
Calling "normal" gds value DRAWING now to be consistent with typical GDS map files.
IMP: (2/15/13, GVG) LEF tech reader (Bug #4684). First changes.
Reader creates layers from file which is considered good enough for now.
BUG: (2/14/13, GVG) NPE when uploading from a non-tech file in private menu (Bug #4682)
FIX: Set the linereader before setting basic values.
BUG: (2/14/13, SMR) GDS import/export doesn't handle port characteristics (Bug #4681).
FIX: Now read characteristics during import and write them during export.
BUG: (2/14/13, GVG) DFX reader relies on current technology for the non-maincell (Bug #4680)
FIX: Pass Artwork to functions that create the cells.
IMP: (2/14/13, GVG) Extend GDS reader/writer to support new GDS type (Bug #4678)
Reader supports multiple layers with same GDS values. Pick the first now.
IMP: (2/13/13, GVG) Detect if there is collision in hashing function used for
mapping layers in GDS import (Bug #4677)
BUG: (2/13/13, GVG) DRC reports wrong results (implant xtors, NMOS) (Bug #4640)
FIX: Special deal for implant-diffusion interaction in transistors for old tech nmos.
BUG: (2/12/13, GVG) DRC reports wrong results (implant xtors, NMOS) (Bug #4640)
FIX: Implant transistors had the same error as in bug 4490
IMP: (2/8/13, GVG) GDS export should consider TEXT values if they are available (Bug #4663)
IMP: (2/8/13, GVG) Extend Technology schema to support new GDS type (Bug #4662)
BUG: (2/8/13, GVG) Assertion in TechEditoWizard when metal info is null (Bug #4661)
FIX: Return null if the string is empty.
IMP: (2/7/13, GVG) Extend GDS dialog to deal with new types (Bug #4658)
BUG: (2/7/13, GVG) IndexOutOfBoundsException if less than two layers are defined in fillSeries
FIX: Detecting the case now.
BUG: (2/7/13, GVG) NPE in TechEditor if gds values for metals are not defined (Bug #4657)
FIX: Detecting the absent case.
BUG: (2/7/13, GVG) NPE in TechEditor while accessing GDS info (Bug #4656)
FIX: Detecting properly the null case (no info case).
IMP: (2/7/13, SMR) Allow other GDSType associated to layers (Bug #4653)
GUI changes
BUG: (2/6/13, GVG) Silicon Compiler and nondeterministic results in regressions (Bug #4652)
FIX: SilCompPrefs object is passed as parameter
IMP: (2/6/13, GVG) Allow other GDSType associated to layers (Bug #4653)
First set of changes.
BUG: (2/6/13, SMR) Routing 45-degree should offer multiple choices for connecting to an arc (Bug #4651).
FIX: Improved InteractiveRouter.getConnectingPoints() to allow other choices.
BUG: (2/6/13, GVG) NPE in external extraction tool (Bug #4650)
FIX: Detecting if LIBDIRS file has empty lines.
IMP: (2/5/13, SMR) Hard-to-select mode now has a special cursor (Bug #4646).
BUG: (2/4/13, SMR) Force-directed-2 placement algorithm is unstable (Bug #3202).
FIX: Marked it so in the manual, since it is not being developed anymore.
IMP: (2/1/13, GVG) Adding DMYPOLY1 in Technology.xsd. It was left behind
while working on Bug #4588
BUG: (1/31/13, GVG) NPE in LayerDrawing when cell is deleted with Undo command (Bug #4635)
FIX: Detect if cell is not null before starting drawing process
************************* Version 9.04-e: ******************************
BUG: (1/30/13, SMR) Spice output doesn't honor ascending/descending order (Bug #4633).
FIX: Modified base class, Topology.java, to consider original export ascending/descending order.
IMP: (1/29/13, GVG) GDS dialog box should detect if input is not a number (Bug #4630)
Side effect: it won't check types if layer fields are wrong.
IMP: (1/29/13, GVG) Mark with green/red/yellow the matching results in
Import -> GDS Layer map (Bug #4631). Marking red the mismatches and gray the matches.
IMP: (1/29/13, GVG) Consolidate GDS info in class LayerInfo with GDSLayers (Bug #4361)
IMP: (1/29/13, GVG) Ability to control number of columns defined by TechEditorWizard (Bug #4629)
BUG: (1/29/13, SMR) Preferences test fails if component menu was edited (Bug #4628).
FIX: Added component menu entries to exclusion code.
IMP: (1/29/13, SMR) Created new command: "Cell / Replace Instance with Duplicate Cell"
to replace the selected cell with a new cell copy (Bug #2105).
BUG: (1/29/13, GVG) XML tech parser doesn't complain about bad GDS layer description (Bug #4360)
FIX: GDSLayers.parseLayerString rewritten to catch those errors.
BUG: (1/28/13, GVG) 9.04c v/s 9.04d: Export GDS/CIF test from the menu (Bug #4592)
FIX: Technology scale will be taken from cell, not from the current technology in the GUI.
IMP: (1/28/13, GVG) Possible to detect error during parsing rather than technology building? (Bug #4573)
BUG: (1/28/13, GVG) Include Text in GDS io is also used in the export function (Bug #4594)
FIX: "Include Text" option is now outside of the "Import" frame.
IMP: (1/25/13, GVG) Detect wrong layer names during parsing (Bug #4593)
BUG: (1/25/13, GVG) Misleading error message when there are errors in soft xml tech (Bug #4572)
FIX: Error message amended.
IMP: (1/25/13, GVG) Electric should not include artwork data in GDS if layer with gds=1
is not included in GDS Map (Bug #4574). GDS=1 is normally associated to well type layer.
IMP: (1/24/13, GVG) Name inconsistencies in ArcProto functions associated to poly arcs (Bug #4588)
BUG: (1/23/13, SMR) Changing cell's technology doesn't update menus (Bug #4587).
FIX: In CellProperties.SetCellOptions.terminateOK(), switch technologies.
BUG: (1/23/13, GVG) ErrorLogger export should properly write character & down in XML (Bug #4585)
FIX: Character added in ErrorLogger:correctXmlString().
BUG: (1/23/13, SMR) Verilog netlisting ignores standard-cell preferences (Bug #4299).
FIX: Removed preference override in Tool menu.
IMP: (1/17/13, SMR) Finished demo of Photonics technology (Bug #4228).
IMP: (1/16/13, GVG) Ability to sort nodes in TechPalette:Pure according to
same setup used for layers (Bug #4521). Using the same Layer function as in the rest of the code.
BUG: (1/15/13, GVG) Wrong transistor type from transistors series section (Bug #4517)
FIX: Detect function based on prefix
BUG: (1/14/13, GVG) GDS output writing geometry for pins (Bug #4502)
FIX: Regression got fixed to detected the case in the future
BUG: (1/14/13, SMR) Layers from other technologies may appear on top (Bug #4343).
FIX: Draw other technology's layers first so they are lower in opaque stack.
Also removed inconsistent alpha blending from PixelDrawing.java
************************* Version 9.04-d: ******************************
BUG: (1/10/13, GVG) DRC reports wrong results (connected diff arcs, NMOS) (Bug #4490)
FIX: lambda box associated with electric active regions in transistor amended in nmos.xml
BUG: (1/10/13, GVG) GDS output writing geometry for pins (Bug #4502)
FIX: Detecting pins in GDS.java and skip them for output
BUG: (1/3/13, SMR) Expanding multiple levels can produce incorrect geometry (Bug #4478).
FIX: In CellChangeJobs.extractOneLevel() must carry orientation and track it properly.
IMP: (12/20/12, SMR) Extraction tool capable to deal with more complex contact structures (Bug #4412)
BUG: (12/20/12, SMR) Extraction tool should keep layers that can't extract (Bug #4440)
FIX: Make sure interconnect layers are ignored while determining arcs to use.
IMP: (12/20/12, GVG) Ignore nodes equals to smallestDotWidth in Layout image import (Bug #4445)
IMP: (12/19/12, GVG) Allow asymmetric definition of layers in mult_contacts (Bug #4441)
First changes.
IMP: (12/19/12, GVG) Ability to define extra bits for layers (Bug #4437)
************************* Version 9.04-c: ******************************
IMP: (12/14/12, DN) Remove field NodeInst.nodeIndex for faster database change.
BUG: (12/13/12, GVG) Assertion in DRC minarea with nmos technology (Bug #4424)
FIX: Adding DIFF as active layer to consider
IMP: (12/13/12, GVG) Don't safe team if list is empty (Bug #4423)
IMP: (12/13/12, GVG) Alternative way to deal with more complex SizeOff definitions in TechWizard
IMP: (12/13/12, GVG) Some changes for "Allow PSEUDO layer in transistors extraction (Bug #4422)
IMP: (12/12/12, GVG) More flexible way to define SizeOff in contacts in TechEditorWizard
BUG: (12/12/12, SMR) Technology palette switches at bad times (Bug #4380).
FIX: Removed window frame resetting call in WindowFrame.WindowsEvents.windowActivated()
BUG: (12/11/12, SMR) AutoStitch runs job twice (Bug #4410).
FIX: Force CircuitChanges.cleanupCell() to start CleanupChanges by hand.
IMP: (12/10/12, GVG) Wizard should avoid defining multiple nodes with same name (Bug #4397)
BUG: (12/10/12, GVG) NPE in UserPreferences 3D if ThreeDTab is used (Bug #4411)
FIX: Detecting if the view is null
IMP: (12/10/12, GVG) Allow definition of 4-layer contacts (Bug #4405)
IMP: (12/10/12, DN) Use ni.getNodeId() instead of ni.getNodeIndex() for removing swapped pairs in AutoStitch and DRC.
IMP: Printing more information for users in case plugin-dependent menus can't be loaded (Bug #4402)
BUG: (12/6/12, DN) Assertion in CellRevisionConn (Bug #4399)
FIX: In CellRevisionConn.updateBlocks consider change of node's protoId.
IMP: (12/6/12, GVG) Better catch not function type call (Bug #4400)
IMP: (12/5/12, GVG) Ability to define multicut rectangular contacts (Bug #4396)
BUG: (12/5/12, GVG) sizey is not considered in multicutbox (XML tech definition) (Bug #4394)
FIX: sizey now considered in AbstractShapeBuilder:calculateInternalData
BUG: (12/5/12, SMR) Want PNG regression (Bug #3929).
FIX: Added regression.
BUG: (12/3/12, GVG) Cadence preferences for some key bindings look wrong (Bug #4388)
FIX: Some key bindings still have the original values.
BUG: (12/3/12, SMR) Nonmanhattan arcs fail with rotated ports (Bug #4389).
FIX: Fixed RouteElementArc.doAction() to allow off-grid ports.
BUG: (11/29/12, SMR) Technology switches too easily (Bug #4380).
FIX: Changed detection and handling of technology switches.
IMP: (11/27/12, GVG) STL IO output capability (Bug #4379)
FIX: Implementation with regression included.
************************* Version 9.04-b: ******************************
BUG: (11/27/12, GVG) LEF output not writing correct LAYER info associated to PIN section (Bug #4378)
FIX: Fixing the hardcoded text associated to metal layers on pins.
IMP: (11/27/12, GVG) Improve report message when parser errors are found (Bug #4377)
IMP: (11/27/12, GVG) Assign layer number/type to nodes whose layers in not found in GDS importer (Bug #4376)
BUG: (11/26/12, GVG) NPE in 3D (Bug #4375)
FIX: Detecting the case.
BUG: (11/23/12, DN) Long EPoints take too much memory (Bug #4367)
FIX: EPoint is abstract. It has subclasses EPointInt and EPointLong.
BUG: (11/20/12, GVG) Wrong GDS for dummy vias if vias contain text/pin info (Bug #4359)
FIX: Just take value from the data structure.
IMP: (11/20/12, DN) Make bookshelf import deterministic.
BUG: (11/19/12. GVG) Creating XML tech from file doesn't deal with GDS pin/text values (Bug #4347)
FIX: Added extra parsing condition for pin/text
IMP: (11/19/12, GVG) Error messages improved when parsing XML tech with errors.
BUG: (11/19/12, GVG) GetArcInfo dialog not wide enough (Bug #4345)
FIX: Make sure the button fills the space assigned to that location.
BUG: (11/19/12, SMR) Extraction doesn't get right transistor (Bug #4322).
FIX: Try transistors in area order, not just by complexity.
BUG: (11/15/12, GVG) NPE while importing GDS skeleton (Bug #4320)
FIX: Detect the case when no cell is found in the gds file.
IMP: (11/14/12, GVG) Better error message when there is no arc associated to layer in LEF PATH section
BUG: (11/14/12, SMR) Doing undo during a change job causes nondeterministic behavior (Bug #2398).
FIX: Warn user if change jobs are running.
IMP: (11/13/12, GVG) Catching exception in LayerSortingType.valueof() in case someone
has an invalid LayerSorting preference by mistake.
BUG: (11/12/12, GVG) FillCellTest still failing (Bug 3951)
FIX: No longer DRC errors and update the reference library autoFillCellLibResult.jelib
IMP: (11/9/12, GVG) Ability to control how layers are listed in different dialogs" (Bug #4239)
FIX: At least all functions that display layers have the same functionality to list Layers.
IMP: (11/9/12, GVG) Many changes for "Ability to control how layers are listed in different dialogs" (Bug #4239)
BUG: (11/9/12, GVG) NPE in 3D dialog when no 3D feature is on (Bug #4279)
FIX: Detect the null case during construction of the dialog.
IMP: (11/7/12, GVG) Added external connection to Calibre DRC for new 40nm tech.
IMP: (11/7/12, GVG) Get JavaWebStart to work with 9.03 (latest GNU release) (Bug #4176)
BUG: (11/7/12, SMR) Error logger slow to search (Bug #3692).
FIX: Added map to ErrorLogger for faster search.
BUG: (11/4/12, DN) Assertion in makeGridBox (Bug #4255)
FIX: In ImmutableArcInst.getFixpExtendOverMin convert integer to long to avoid overflow.
BUG: (11/3/12, DN) Multi-threaded ERC and new machine with many cores (Bug #3882)
FIX: Use simple spread algorithm.
IMP: Report missing arc primitives similar to the missing node primitives cases (Bug #4256)
Added missing arc primitives to the list displayed. Add "(arc)" to distinguish from nodes with
identical names.
BUG: (11/1/12, SMR) Live lists don't work with arrow keys (Bug #4254).
FIX: Changed from mouse listener to action listener
BUG: (11/1/12, GVG) Multi-finger transistors generator not working for internal 40nm tech (Bug #4253)
FIX: Properly detecting M1 as arc function
BUG: (11/1/12, SMR) When including bad Verilog, no errors are available (Bug #4247).
FIX: Put errors in error log.
BUG: (11/1/12, GVG) NPE in MultiFingerTransistor (Bug #4252)
FIX: Detect case when technology doesn't have transistors
************************* Version 9.04-a: ******************************
IMP: Adding new 40nm tech in the private version.
IMP: (10/29/12, GVG) Refactoring of sorting functions for layers and moved GDSLayers class to the technology package.
These changes are for Bug #4239 (Ability to control how layers are listed in different dialogs)
BUG: (10/29/12, SMR) Component menu preferences still think menu changed (Bug #4211).
FIX: Fixed flag when resetting.
BUG: (10/29/12, SMR) Verilog parse errors clutter Verilog netlisting (Bug #4247).
FIX: Suppress Verilog parse errors during netlisting.
IMP: (10/25/12, GVG) GDS Map importer improvements (Bug #4240)
IMP: (10/25/12, GVG) Ability to control how layers are listed in different dialogs (Bug #4239)
Started using the function tech.getLayersSortedByUserRule() in GDSTabs and 3D View.
BUG: (10/25/12, GVG) GDS tab in preferences doesn't start with current technology (Bug #4238)
FIX: Properly set the current item in technologySelection.
IMP: (10/23/12, GVG) Can we avoid moving the facet-center? (Bug #4217)
Avoid moving the center if multiple elements are selected
BUG: (10/23/12, SMR) Component menu preferences fails with null primitive functions (Bug #4213).
FIX: Validate and repair functions.
BUG: (10/22/12, GVG) LEF export doesn't comply with metal and via name standards.
Issues with UNIT definition (Bug #4229)
FIX: Names fixed and not dividing unit by 1000
IMP: (10/22/12, SMR) Now have initial Photonics technology (Bug #4228).
BUG: (10/21/12) LEF export doesn't write all VIA and METAL layers (Bug #4226)
FIX: Getting the number of metals/vias from the technology under analysis
BUG: (10/21/12) LEF Export generates invalid layer definition for vias (Bug #4225)
FIX: Removed extra space and ";"
BUG: (10/18/12) Problem with the sound system on Linux makes Electric to crash (Bug #4218)
FIX: Put extra try/catch
BUG: (10/17/12, DN) Display may skip subcells that are rotated (Bug #4209).
FIX: In AbstractLayerDrawing.getSearchBoubds() reverse orientation of parent cell when
computing bounds for subcell search.
IMP: (10/17/12, GVG) GetMultiInfo Dialog with wrong initial size/difficult to resize (Bug #4215)
FIX: Defined min sizes for some panels. Moved Apply button to the right group (with OK and Cancel).
IMP: (10/17/12, GVG) Mark Variable parse exception as warning during library reading (Bug #4214)
FIX: Call logWarning with the message.
BUG: (10/17/12, GVG) NPE in ComponentMenu with mocmos tech (Bug #4212)
FIX: Detect when function associated to NodeProto or NodeInst is null
BUG: (10/17/12, SMR) Display may skip subcells that are rotated (Bug #4209).
FIX: In VectorDrawing.render() reverse orientation of parent cell when
computing bounds for subcell search.
BUG: (10/17/12, SMR) Node extraction doesn't consider oversize cuts (Bug #4153).
FIX: Now reject contacts with cuts that are too small.
BUG: (10/17/12, SMR) Component menu doesn't remove changed warning after reset (Bug #4211).
FIX: Fixed change detection.
BUG: (10/15/12, GVG) Unexpected results when rotating a list of elements and the center is in that list (Bug #4210)
FIX: Avoid adding the center from the highlighted list of elements.
BUG: (10/15/12, GVG) Cell usage for layout cells (Bug #4208)
FIX: Skip the extra checking when the cell is a layout.
IMP: (10/15/12, GVG) EDIF should detect invalid attribute value (Bug #4207)
FIX: Added extra code to detect "=" in the expression. This is similar to Bug #4200
BUG: (10/15/12, SMR) Node extraction fails, makes big pins (Bug #4189).
FIX: Fixed N/P distinction, removed immense pins.
IMP: (10/11/12, SMR) EDIF preferences now has "Stitch cells when reading" (Bug #4194).
BUG: (10/11/12, SMR) Autostitch gives errors when stitching busses (Bug #4194).
FIX: In AutoStitch.testPoly() do not connect between bus and wire.
BUG: (10/10/12, GVG) EDIF reader doesn't detect badly formed variables (no close parenthesis) (Bug #4200)
FIX: Added the warning messages.
IMP: (10/9/12, GVG) Ability to import all parameters in EDIF import (Bug #4195)
New option in EDIF preference added
IMP: (10/2/12, SMR) Added synchronized clock trees (Bug #3845).
BUG: (10/1/12, GVG) Export SKILL and wrong command to instantiate subcells (Bug #4177)
FIX: Fixed wrong commands after dbOpenCellViewByType for subcells.
BUG: (9/27/12, GVG) NPE in Spice out when trying to use default parameters in top cell (Bug #4154)
FIX: Detect the case and use default value without executing evalParam with a null info.getParentInst()
IMP: (9/26/12, DN) Try ForkJoin from scala-library in ERCWellCheck.
BUG: (9/25/12, DN) IllegalArgumentException during deletion step (Bug #4146)
FIX: In GetInfoNode.doLoadInfo ignore unlinked nodes.
IMP: (9/24/12, DN) Enable strict API checks in CellRevision connectivity methods
************************* END OF Version 9.03, GNU RELEASE *************
************************* Version 9.03-c: ******************************
BUG: (9/18/12, SMR) Waveform window crashes when reload clicked (Bug #4133).
FIX: Do not call PageStorage.close() in Stimuli.finished().
BUG: (9/18/12, SMR) Node extraction fails for asymmetric transistors (Bug #4123).
FIX: Try transistors when flipped.
IMP: (9/18/12, SMR) Better Auto-routing without loops (Bug #4132)
IMP: (9/17/12, GVG) Better detection of Auto-routing in infinite loop (Bug #4132)
IMP: (9/17/12, GVG) Check if layer provided in tech file really exists (Bug #4131)
BUG: (9/17/12, GVG) Can't select correct ArcInst in Select Objects if there are multiple
arcs with same name (Bug #4128)
FIX: Don't look for the arc based on name. Use the Object obj already available
BUG: (9/17/12, SMR) Node extraction fails for complex technology transistors (Bug #4123).
FIX: Examine transistors in proper order and allow artwork layers.
BUG: (9/13/12, GVG) Out of bound exception if transistor doesn't have the expected active ports (Bug #4122)
FIX: Case detected (no port associated to active layers)
IMP: (9/11/12, GVG) Electric Webstart not up to date after Ant migration (Bug #4116)
IMP: (9/6/12, GVG) Control number of lines displayed in errors dialog (Bug #4105)
Related to Bug 4004
BUG: (9/6/12, SMR) 45-degree arcs not handled right yet (Bug #3982).
FIX: Fixed bug in 45-degree arcs, improved nonManhattan display command.
BUG: (9/4/12, GVG) NP in Extraction tool applied to schematics (Bug #4095)
FIX: Detecting the case of a non-layout cell.
BUG: (9/4/12, SMR) "Clear" button in "Highlighting" section of "Layers" sidebar
gives warning messages (Bug #4094).
FIX: Find missing layers and avoid the warnings.
BUG: (9/4/12, GVG) NP in showNetworkCommand probably due to a bad connected port (Bug #4093)
FIX: Detect the case and report the error in the messages window
IMP: (9/4/12, GVG) Getting rid of "MoveNodeWithExport is accessed from Move (running)"
IMP: (8/30/12, GVG) Avoid exception trace if debug option is not used. (Bug #4082)
BUG: (8/28/12, SMR) Layer visibility not recached when technologies change (Bug #4060).
FIX: LayerVisibility now able to rebuild itself.
BUG: (8/27/12, GVG) Assertion if DRC deck does only contain min width rules (Bug #4068)
FIX: Add the layer to list of layers with rules when reading min width data.
BUG: (8/24/12, GVG) RuntimeException due to null file in Layout Image tool (Bug #4066)
FIX: Detect the empty filename
IMP: (8/23/12, GVG) Trimming searchText in Cell Search dialog in case you
place extra white spaces by mistake.
IMP: (8/22/12, SMR) Added "Tool / Generation / Acute Angle Fill"
to fill corners of acute arc joins (Bug #3982).
************************* Version 9.03-b: ******************************
BUG: (8/21/12, SMR) Display crashes if cell bounds is null (Bug #4053).
FIX: Tests added in VectorCache.forceRedraw().
BUG: (8/20/12, SMR) LEF import doesn't handle polygons (Bug #4046).
FIX: Added polygon handling to pins and obstructions.
BUG: (8/20/12, SMR) DRC check in Array command runs even when dimmed (Bug #4050).
FIX: Do not run DRC check if checkbox dimmed, even if checked.
BUG: (8/17/12, GVG) Unknown PORT keyword (POLYGON) in LEF (Bug #4046)
FIX: Preliminary change to get going the reading process.
BUG: (8/17/12, SMR) EDIF import doesn't handle icons without schematics (Bug #4000).
FIX: Fixed import to work right.
IMP: (8/16/12, GVG) Added more messages in case technology doesn't have any DRC rule defined
IMP: (8/15/12, GVG) Cleaning changes related to "Clean up code in MTDRCLayoutTool
with code in DRC.java (Bug #2862)"
IMP: (8/15/12, GVG) Remove class MOSRules and DRCRules (Bug #3071)
IMP: (8/15/12, GVG) No warning if two xml technologies with the same name are uploaded (Bug #2905)
FIX: Added advise to remove invalid soft tech from preferences
BUG: (8/14/12, SMR) EDIF export fails when icon has no schematic (Bug #4000).
FIX: Fixed icon-only cells and mixed schematic/layout writing.
BUG: (8/14/12, GVG) Missing 3D demo file 'helphtml/demoCage.j3d' in GNU release (Bug #4036)
FIX: Added *.j3d in build.xml
BUG: (8/14/12, SMR) 3D features should be included in GNU release (related to Bug #3960)
FIX: Added Java3D to GNU build
BUG: (8/14/12, SMR) EDIF export of schematic transistors fails (Bug #4029).
FIX: Write both types of transistors.
BUG: (8/13//12, GVG) ClassCastException in Copy cells/groups from TreeExplorer (Bug #4027)
FIX: Detecting if root element is not CellGroup
BUG: (8/13/12, SMR) EDIF output doesn't handle layout right (Bug #4021).
FIX: Improved EDIF for layout cells.
BUG: (8/10/12, SMR) Explorer command "Copy Entire Group" doesn't handle
multiple groups (Bug #3997).
FIX: Now handles multiple groups.
IMP: (8/11/12, SMR) When creating new cell from explorer tree, and
a cell group is selected, use group name as default (Bug #4019).
BUG: (8/10/12, SMR) Very long alert dialogs are too wide (Bug #4004).
FIX: Break into shorter lines.
BUG: (8/10/12, SMR) Explorer command "Delete Entire Group" doesn't handle
multiple groups (Bug #3997).
FIX: Now handles multiple groups.
BUG: (8/9/12, SMR) EDIF import doesn't connect (Bug #3992)
FIX: Allow slop in port connections.
BUG: (8/9/12, SMR) EDIF import crashes if icon has geometry (Bug #3998).
FIX: Handle situations where there is no cell for creating circuitry.
Also write primitives properly.
BUG: (8/9/12, SMR) Cross-library copy fails if moving entire group (Bug #4016).
FIX: Queue cell deletion until after all copying.
IMP: (8/8/12, GVG) Allow reference to already read libraries in EDIF Import (Bug #4015)
Detecting if the cell already exists.
BUG: (8/7/12, SMR) EDIF import complains about criticality clause (Bug #3992).
FIX: Ignoring unused EDIF.
BUG: (8/6/12, GVG) NPE while exporting a more complex schematic (Bug #3999)
FIX: Detecting the null CellNetInfo when looking for equivalent ports.
BUG: (8/6/12, GVG) NPE in timing code (Bug #3996)
FIX: Detecting if NCC parameters are not found.
************************* Version 9.03-a: ******************************
BUG: (8/2/12, SMR) Need better handling of 45-degree arcs (Bug #3982).
FIX: Special handling in AbstractShapeBuilder.Shrinkage.
BUG: (7/31/12, GVG) Exception due to different GraphicsDevice for 3D views (Bug #3977)
FIX: Different way obtain GraphicsConfiguration. Solution found on the web.
BUG: (7/31/12, GVG) Change in AbstractTest.getEmptyRegressionPath() broke GDS_Export regression (Bug #3976)
FIX: ExportGDS and IO regressions have incompatible use of getRegressionPath(). Added new function.
IMP: (7/30/12, GVG) Node extraction regression added (Bug #3942).
BUG: (7/27/12, SMR) Node extraction fails in many cases (Bug #3942).
FIX: Now using minimum technology resolution for gridding.
BUG: (7/26/12, GVG) Absent of javax.media.Manager in path (Bug #3961)
FIX: Better caching of exception with classes are not found in makeExtraMenu.
IMP: (7/26/12, GVG) Catch ServiceLoader errors to understand better which plugins are missing (Bug #3959)
BUG: (7/26/12, SMR) Missing private components listed in "About" dialog (Bug #3958).
FIX: Show missing private components only in Debug mode.
IMP: (7/25/12, GVG) Std Spice Lib for timing in local menu allows to include cells defined in other libraries
IMP: (7/25/12, GVG) Reorganized submenus associated to timing in local menu to reflect which ones are
tech independent.
BUG: (7/24/12, GVG) skipSizeInPalette ignored in TechPalette? (Bug #2424)
FIX: Cleaning the code so there is no more reference to this keyword in TechEditWizard.
BUG: (7/24/12, GVG) No adding port in layers defined for nomulticase when distance is negative (Bug #3957)
FIX: Condition removed
BUG: (7/24/12, SMR) Node extraction doesn't grid-align right (Bug #3942).
FIX: Use technology grid, not user grid.
IMP: (7/23/12, GVG) Make visibility menus depend on EGraphics.getMaxTransparentLayer()
rather than fixed values.
BUG: (7/20/12, GVG) Assuming Current Tech in LEF reader (Bug #3926)
FIX: Previous fix was not right. The curTech should be taken from LEF structure.
BUG: (7/20/12, GVG) Found UnsupportedOperationException in FilGen plugin (Bug #3952)
FIX: Replace clone() for fromLambda()
BUG: (7/20/12, GVG) NPE in CompileVerilogStruct.getCell() (Bug #3949)
FIX: Marking as hasErrors if the file can't be read.
BUG: (7/20/12, GVG) EditingPreferences changes left some timing analysis tests not running (Bug #3948)
FIX: Amended signature of timing analysis functions
BUG: (7/20/12, GVG) NPE in LayerDrawing if technologies get mixed up? (Bug #3947)
FIX: Detecting when shapes are null.
BUG: (7/20/12, GVG) TechWizard doesn't generate arcs for some omulti_contacts_series (Bug #3943)
FIX: Detect if contact portname is not found as arcName. Error message is printed
BUG: (7/20/12, SMR) Extractor leaves original geometry behind (Bug #3942).
FIX: In Connectivity.extractContactNodes(), allow all layers into the merge.
IMP: (7/18/12, GVG) Calibre errors reader only creates groups if some elements will
be added to them.
BUG: (7/18/12, GVG) "Too many open file" errors in Calibre errors reader (Bug #3940)
FIX: Close those File pointers in readDensityErrors.
BUG: (7/17/12, GVG) NPE in TechnologyTest (Bug #3938)
FIX: Detect when project settings file can't be opened.
IMP: (7/17/12, GVG) Adding prBoundary to the 90nm tech
BUG: (7/16/12, SMR) Static Timing Analysis netlisting ignores Verilog views (Bug #3930).
FIX: This happened because of Bug #3883, so new command restores verilog views.
BUG: (7/13/12, SMR) Rendering may fail if cell is invalid (Bug #3928).
FIX: Always allocate top-level array in VectorCache.buildTopOnlyShapes().
IMP: (7/12/12, GVG) Managing to include the cell names in the XML Logger file (Bug #3884)
BUG: (7/11/12, GVG) File/Export/PNG: NullPointerException (Bug #3927)
FIX: Detecting if drawing preferences haven't been loaded for the printing process.
Fair treatment of arcs if they are found in the current technology.
IMP: (7/11/12, GVG) Assuming Current Tech in LEF reader (Bug #3926)
FIX: If no tech is provided in the LEF file, the current tech will be used.
IMP: (7/9/12, GVG) Better error message when importing LEF file and not finding the proper layer.
BUG: (6/29/12, GVG) Electric ignores the technology preference when importing GDS (Bug #3910)
FIX: Assign tech to cell after creation in GDS reader.
************************* END OF Version 9.02, GNU RELEASE *************
************************* Version 9.02-k: ******************************
BUG: (6/27/12, GVG) Better handling of 0 as GDS value (Bug #3909)
FIX: Better handling of GDS definitions like "0/19". Removing -1 case since it breaks
XML tech reader.
BUG: (6/26/12, SMR) Crossprobing not working from waveform to schematic (Bug #3907).
FIX: In WaveformWindow.WaveformWindowHighlightListener.highlightChanged()
fix search for EditWindow.
BUG: (6/21/12, SMR) Electric doesn't export GDS with holes right (Bug #3813).
FIX: Do not break polygons into segments if hole is detected.
BUG: (6/18/12, GVG) [Bug 3882] Multi-threaded ERC and new machine with many cores (Bug #3882)
FIX: No fix/solution yet. Just synchronizing RTNode.Search.nextObject if that solves the
possible locking condition with many threats. No study yet on the performance impact.
BUG: (6/15/12, GVG) NPE in ErrorLogger when export request comes from regression (Bug #3884)
FIX: Detect the cases when GUI is not present.
************************* Version 9.02-j: ******************************
BUG: (6/14/12, SMR) Want Sun/Static Timing Analysis Verilog output to ignore
Verilog views (Bug #3883).
FIX: Added internal Verilog preference to skip Verilog views.
BUG: (6/13/12, GVG) IO exception due to greater number of metals (Bug #3880)
FIX: Changes to deal with metal13 related structures including functions and arc functions.
No more DRCMode based on NumMetals since it doesn't scale well and not needed for smaller
technologies (<90nm)
BUG: (6/13/12, SMR) Scan Chain generator mangles names (Bug #3881).
FIX: Added option to not-mangle the names.
BUG: (6/12/12, GVG) Status bar doesn't update if you change the tech in a cell (Bug #3855)
FIX: Another implementation: solution in StatusBar code as any attempt in Cell.java:describe() breaks other code
BUG: (6/12/12, SMR) Vector cache crashes when new technology has more layers than last (Bug #3878).
FIX: In VectorCache.addBoxesFromBuilder() test for max layers in technology.
BUG: (6/11/12, GVG) Status bar doesn't update if you change the tech in a cell (Bug #3855)
FIX: Adding tech in case of displaying a cell instance whose tech is different from the current one.
BUG: (5/31/12, GVG) StackOverflowError while duplicating cells with expanded subcells (Bug #3861)
FIX: Getting CellRevision from the appropriate CellId.
BUG: (5/31/12, SMR) Playing with global overrides in Sun menu commands (Bug #3860).
FIX: Removed override.
BUG: (5/30/12, GVG) Electric doesn't check if a soft tech was already defined as known (Bug #3857)
FIX: Report error if soft tech is already defined in TechFactory.getKnownTech.
BUG: (5/30/12, SMR) Must warn that removing a technology is delayed (Bug #3850).
FIX: Added warning to manual and Added Technologies preferences.
BUG: (5/29/12, GVG) NPE in library file (edit) (Bug #3856)
FIX: Detecting the null case in ReadLibrary. No menu if the URL is null
BUG: (5/29/12, SMR) Do not show memory usage in status bar when hierarchical
traversal being done (Bug #3854).
FIX: Fixed StatusBar.updateUsedMemory().
BUG: (5/27/12, DN) Earlier check that selectedExport is linked (Bug #3853)
FIX: Avoid non-linked export in EditWindow.
BUG: (5/25/12, GVG) NPE in Interactive Router (Bug #3852)
FIX: Similar null detection for the zero degree case as in 90 degree
BUG: (5/24/12, SMR) Need better tools to reconfigure the component menu (Bug #3827).
FIX: The Component Menu Preferences panel now has additional commands:
1) splitting a tall column in two
2) swapping two columns
3) rotating the elements in a column (up or down)
4) the ability to drag-and-drop component menu entries to rearrange them
BUG: (5/24/12, SMR) Quick-key "&" conflicts with layer visibility commands (Bug #3847).
FIX: "Repeat Last Command" is now F7.
IMP: (5/23/12, GVG) The usage of LIBDIR and what is considered a valid path (Bug #3837)
FIX: Added mainLibDirectory+LIBDIRS path to the potential dir candidates.
BUG: (5/22/12, SMR) Must change GNU headers (Bug #3849).
FIX: Changed.
BUG: (5/22/12, GVG) Rearrange tech palette if number of rows is > 20 (Bug #3827)
FIX: Done in the tech editor wizard to calculate the number of sections (3 columns of 20 items each)
BUG: (5/22/12, GVG) Too large coordinates (Bug #3829).
FIX: Modifications in private repository
BUG: (5/22/12, DN) Too large coordinates (Bug #3829).
FIX: Mark EPoint constructor as deprecated. Replace some calls of the constructor.
BUG: (5/22/12, GVG) Too large coordinates (Bug #3829)
FIX: Replaced new EPoint() with EPoint.fromLambda()
************************* Version 9.02-i: ******************************
BUG: (5/17/12, GVG) TechEditWizard test not running (Bug #3840)
FIX: Changes for this to avoid the assertion but mocmos test doesn't run.
BUG: (5/17/12, SMR) Need H-tree router for clock distribution (Bug #3845).
FIX: Initial version created.
BUG: (5/17/12, SMR) Cross-library copy fails when a blank line is selected (Bug #3844).
FIX: Skip blank lines.
BUG: (5/17/12, SMR) DEF import should optimize when ignoring interconnect (Bug #3843).
FIX: Now ignores entire block if not reading interconnect.
BUG: (5/17/12, GVG) NPE if new tech in test can't be created (Bug #3842)
FIX: Detecting the case
BUG: (5/17/12, SMR) Spice output writes separate subcircuits for each position
in the hierarchy if there is a syntax error in an attribute (Bug #3839).
FIX: Detect the syntax errors and print warnings.
BUG: (5/17/12, SMR) Recent LEF changes altered regressions (Bug #3834).
FIX: Must let width information carry-over from LEF import.
BUG: (5/17/12, SMR) Redisplay crashes when libraries change (Bug #3838).
FIX: Tests for null pointers in LayerDrawing, PixelDrawing, and VectorCache.
BUG: (5/16/12, SMR) DEF reader cannot handle quoted square brackets (Bug #3833).
FIX: Now converts them to underscores.
BUG: (5/16/12, SMR) DEF should handle missing cells (Bug #3828).
FIX: Now have DEF preference "Make dummy cells for unknown cells".
BUG: (5/15/12, GVG) NPE in DEF reader for variable that is shared with LEF (Bug #3832)
FIX: Move initialization to initializeLEFDEF()
BUG: (5/15/12, GVG) Wrong version after Ant migration (Bug #3830)
FIX: As of from now, we must update version in Version.java.
BUG: (5/15/12, SMR) Redisplay clears screen when technologies are added (Bug #3821).
FIX: In VectorCache.clearCache(), add call to forceRedraw().
BUG: (5/14/12, SMR) Redisplay still updates windows that didn't change (Bug #3706).
FIX: Fixed redisplay to only work on changes.
BUG: (5/14/12, GVG) LEF doesn't consider pure node for overlap layers (Bug #3826)
FIX: Added code to search for pure node. Similar to case when is sought by name.
BUG: (5/14/12, GVG) Memory issue while importing LEF with two different technologies (Bug #3825)
FIX: Cleaning the layers map, similar to the widths and vias values
BUG: (5/11/12, GVG) NPE when pattern in EGraphics constructor is null (Bug #3822)
FIX: Detecting the case. Assigning null pattern in that case.
BUG: (5/10/12, GVG) NoSuchElementException if XML tech doesn't define arcs (Bug #3818)
FIX: Just detecting the case to avoid the exception.
************************* Version 9.02-h: ******************************
BUG: (5/3/12, GVG) Invariant issue in TechEditorWizard if txt file is loaded more than once (Bug #2951)
FIX: Fixed for sometime already. Just marking as release in this version.
BUG: (5/3/12, DN) Better catching of too large coordinate in GDS import (Bug #3405)
FIX: This has been fixed for a while now. Adding the text here for completeness.
EPoint inherits from AbstractFixpPoint (Dima's work)
BUG: (5/2/12, GVG) NPE in MarkForEditJob (CVS feature) (Bug #3811)
FIx: NPE condition detected when unmark condition is present in Edit$MarkForEditJob.terminateOK()
BUG: (5/1/12, SMR) I can't paste complex polygon in Electric (Bug #3812)
FIX: Detect if there is trace information in Clipboard:copyListToCell
BUG: (4/30/12, SMR) Waveform Window menu entries crash when there is no Waveform Window (Bug #3810)
FIX: No Waveform window case detected.
BUG: (4/29/12, SMR) Include gds from file and skeleton view (Bug #3809)
FIX: Detect if the subcell is a skeleton view.
BUG: (4/27/12, GVG) Electric can't ready {lay.sk} cells? (Bug #3806)
FIX: Detecting if file has a "double" extension like "lay.sk"
BUG: (4/27/12, GVG) Out of bound while clicking in the About dialog box (Bug #3808)
FIX: Just detecting index=-1
BUG: (4/27/12, GVG) Electric is not properly including SPICE external file with external LVS (Bug #3807)
FIX: sp.ignoreModelFiles = false in SunAsyncMenu
BUG: (4/27/12, SMR) NPE while importing GDS skeleton view (Bug #3805)
FIX: Detect when CellBuilder is null in skeleton mode
BUG: (4/26/12, SMR) Message "Moved" not longer in status bar as of 9.02e (Bug #3804)
FIX: Move showCoordinates in EditWindow around
BUG: (4/25/12, GVG) Not proper displayed on gds #/type if gds#=0? (Bug #3411)
FIX: Added more code in the wizard to detect when the GDS value=0 (invalid number). Reset to -1.
BUG: (4/25/12, GVG) Arc generated from extra_layers info should take same function as layer definition (Bug #3652)
FIX: Get the function value from the associated layer
BUG: (4/24/12, GVG) Not cleaning local variable when loading txt file (Bug #3803)
FIX: Local variables are clean before loading the txt file.
IMP: (4/24/12, GVG) Assertion when trying to read again XML tech file (Bug #3729)
Added extra printing in debug mode telling the name of the primitive node repeated.
IMP: (4/24/12, GVG) Include line number in case of errors while reading the Tech wizard file
BUG: (4/24/12, GVG) Veriloging more because it can't match names? (Bug #3772)
FIX: Detecting when VModule is actually defined even though the cell name might not match.
IMP: (4/23/12, GVG) Adding line number in the Calibre LVS errors report.
BUG: (4/19/12, GVG) Assertion while importing Calibre LVS errors (edit) (Bug #3778)
FIX: Adding more condition for instance errors
IMP: (4/17/12, GVG) Extending facility to import LVS Errors from Calibre.
IMP: (4/11/12, GVG) Another place where those warning messages sent to the messages window when a
library can't be read (Bug #3704). Detect if lib==null in FileMenu.ReadLibrary()
IMP: (4/11/12, GVG) Duplicate Cell Error preventing the reading of the rest of the library (Bug #3662)
Adding information of file where the cell was previously found.
BUG: (4/11/12, GVG) NPE in Undo if GUI can't be started (Bug #3767)
FIX: Catching the null pointer in UserInterfaceMain instead.
************************* Version 9.02-g: ******************************
IMP: (4/10/12, GVG) Adding "mt" to Calibre runs.
BUG: (4/9/12, DN) POLY2CAPAC,OPENEDT2 and OPENEDT3 not in Technology.xsd (Bug #3758).
FIX: Update PrimitiveNodeFun and NodeLayerStyle in Technology.xsd .
IMP: (4/9/12, GVG) Facility to import LVS Errors from Calibre.
BUG: (4/5/12, SMR) NPE in Waveform window (Bug #3739).
FIX: Fixed WaveformWindow.WaveFormDropTarget.drop().
IMP: (4/4/12, DN) Move electric-utils from plugins to electric.
BUG: (4/4/12, GVG) NPE when adding signal to simulation done with test HSpice2 (Bug #3739)
FIX: Detect when no collection is found from collection name.
BUG: (4/4/12, GVG) Few NPEs while testing WaveformTests (Bug #3738)
FIX: Detect the cases where the library can't be read.
BUG: (4/4/12, SMR) Cell names can be changed to have spaces in them (Bug #3737).
FIX: Unified cell name checking into Cell.isBadCellNameCharacter().
BUG: (4/4/12, SMR) Circles lose partial degrees when changed (Bug #3736).
FIX: Fixed CircuitChangeJobs.PossibleVariables to include circles.
IMP: (4/2/12, GVG) Get Electric to abort big import DEF job (Bug #3691).
More places to abort the job: readNet()
BUG: (4/2/12, GVG) Invalid notches errors after fixes done for 3685 (edit) (Bug #3714)
FIX: Catching properly corner case where 1 geometry is single point rather a valid area
in Quick:getIntersectionToPolygon(). You need changes in electric-utils as well.
BUG: (4/2/12, SMR) Cell parameters "Copy From Cell" doesn't get attributes (Bug #3730).
FIX: Include attributes as well as parameters.
BUG: (3/29/12, SMR) Pointer arrow missing when highlight is off screen (Bug #3718).
FIX: In Highlighter.ensureHighlightingSeen() allow all types of highlights.
IMP: (3/28/12, GVG) New entry in private menu
BUG: (3/28/12, SMR) Verilog crashes when schematic and icon ports don't match (Bug #3716).
FIX: Do not pursue missing exports.
BUG: (3/27/12, GVG) Merge two ways of marking standard cells (Bug #2174)
FIX: Removing "Mark Current Cell as Standard Cell" from private menu.
Forcing people now to use the Cell -> Properties menu
BUG: (3/27/12, GVG) Verilog can't parser "assign #<delay> a=b" (Bug #3715)
FIX: Adding extra conditions to deal with tilde, hash and expressions in parseAssign.
BUG: (3/26/12, DN) Ability to detect invalid files due to CVS merge problems (Bug #3713).
FIX: In Export.newInstanceNoIcon detect this case.
BUG: (3/26/12, GVG) Merge two ways of marking standard cells (Bug #2174)
FIX: Removing "Set Verilog Standard Cell Template" from private menu
************************* Version 9.02-f: ******************************
IMP: (3/23/12, GVG) Those warning messages sent to the messages window when reading
a library that doesn't exist (Bug #3704). Detect properly if ReadProjectSettingsFromLibrary runs successfully,
BUG: (3/23/12, GVG) Ability to continue launching Electric even though there are issues with some plugins (Bug #3705)
FIX: Catching the error, printing stack in debug mode. Also catching if IRSIM is available in boolean
variable to avoid multiple calls.
BUG: (3/22/12, DN) Redisplay is slow after working at some level of hierarchy and then going up (Bug #3710).
FIX: First fix is to remove reference to CellBackup in VectorCache.VectorCellDef.
HighlightLine references WindowFrame by WeakReference.
Remove entries in UserInterfaceMain.savedHighlights when EditWindow is closed.
Fix bounds calculation in AbstractCellDrawing.setClip.
Return redraw of highlights from render thread to swing thread.
BUG: (3/22/12, SMR) Copy/pasting cell attributes UI is bad (Bug #3709).
FIX: Warn about not duplicating attributes; draw line at target.
BUG: (3/21/12, GVG) NPE in EditWindow.invokeRenderJob (Bug #3708)
FIX: Detect the null EditWindow
IMP: (3/21/12, SMR) GDS can now skeletonize input and merge back with output (Bug #3707).
IMP: (3/21/12, DN) LayerDrawing better draws layers with empty pattern (like prBoundary).
BUG: (3/19/12, DN) ClassCastException accessing SpecialSelectionPort as Export (Bug #3703)
FIX: Fix methods genShapeOfNoe in Schematics technology to return correct ports.
Rollback changes in NodeInst.
BUG: (3/19/12, GVG) ClassCastException accessing SpecialSelectionPort as Export (Bug #3703)
FIX: Detecting the case in ClickZoomWireListener and NodeInst.
BUG: (3/19/12, SMR) Spice preferences cannot control writing of empty subcircuits (Bug #3702).
FIX: Now in the preference, and it controls CDL as well.
IMP: (3/18/12, DN) Electric activates unstable feature when Java property "electric.unstable" is true.
It is enabled by java command-line switch "-Delectric.unstable=true".
About dialog shows more info about search results of ServiceLoader providers.
BUG: (3/18/12, DN) Exception while deleting pins (Bug #3699).
FIX: In database.constraint.LayoutCell check for unlinked node.
In connectivity methods of CellRevisionJ check for unlinked nodes in debug mode.
BUG: (3/16/12, DN) CircuitChangeJobs.eraseObjectsInList causes a crash in CellReviisonS.getNodeInfo (Bug #3698).
FIX: In CircuitChangeJobs.eraseObjectsInList check for unlinked NodeInst.
In NodeInst.hasExport/NodeInst.getNumExports/NodeInst.getExports return false/0/empty for unlinked NodeInst.
In CellRevisionS throw exception for unlinked NodeInst.
BUG: (3/15/12, DN) Jelib reader doesn't unquote node and arc names (Bug #3697).
FIX: In JelibParser.parseNode and in JelibParser.parseArc call unQuote with proper arg.
BUG: (3/15/12, SMR) DEF reader crashes in regressions (Bug #3696).
FIX: Fixed testing for abort.
BUG: (3/15/12, DN) Edit->Change loses polygon shape (Bug #645).
FIX: In ReplaceBuilder.replaceNode copy variables and outline trace.
BUG: (3/15/12, DN) Exception when renaming library (Bug #3694).
FIX: In CellRevisionS.lowLevelWith don't try to reuse connectivity data after Cell or Library rename.
BUG: (3/14/12, DN) UnsupportedOperationException when peeking into Cell (Bug #3693).
FIX: In EditWindow.repaintContents use mutable rectangle.
BUG: (3/14/12, SMR) Want to abort DEF import (Bug #3691).
FIX: Fixed.
BUG: (3/14/12, SMR) Need better LEF reader (Bug #3690).
FIX: Fixed LEF/DEF layer reader and LEF Pin reader.
BUG: (3/13/12, GVG) Assertion in DRC (Bug #3689)
FIX: The condition should not be an assertion. Sending the message in debug mode
BUG: (3/12/12, SMR) Redisplay crashes with icon/schematic issues (Bug #3687).
FIX: More testing for valid arguments to NodeInst.findPortInstFromProto().
Create a method NodeInst.findPortInstFromEquivalentProto().
BUG: (3/9/12, GVG) Notches in non-Manhattan geometries (Bug #3685)
FIX: Better point selection when geometries are not Manhattan.
Changes in Quick:findInterveningPoints()
************************* Version 9.02-e: ******************************
BUG: (3/8/12, SMR) Redisplay crashes when cell doesn't exist (Bug #3684).
FIX: Fixed PixelDrawing.drawImage() to check for dead cells.
IMP: (3/6/12, GVG) java.io.IOException: Duplicate cell (Bug #3661
This is similar to Bug #3662. Extra code to print e.getMessage()rather that
stack trace in case of failure. Discussion continues in Bug #3662
IMP: (3/6/12, GVG) Avoid the exception printout in non-debug mode (Bug #3651)
IMP: (3/6/12, GVG) ERROR while reading resource: latchesL (Bug #3653)
Just don't print the error message in this particular case since it is experimental.
BUG: (3/6/12, GVG) Failure to save file not properly handled (Bug #3650)
FIX: Catching the failure in DELIB.writeCell properly. Error was not
evaluated by JELIB.writeLib()
BUG: (3/6/12, SMR) Eagle, ECAD, and Pads fail regressions (Bug #3675).
FIX: Look for variables on Schematic exports, not Icon.
BUG: (3/6/12, SMR) NonManhattan wiring crashes (Bug #3678).
FIX: Fixed incorrect logic in InteractiveRouter.getConnectingPoints() and added tests.
BUG: (3/5/12, SMR) "Select Object" draws badly when there are two windows on the cell (Bug #3664).
FIX: Must handle fact that two windows on one cell has only one highlighter.
BUG: (3/3/12, DN) Exception from Export->ShowPortsOnNode for cell with no exports (Bug #3673).
FIX: In ExportChanges.showPortsAndExports check for empty ports list.
BUG: (3/3/12, DN) Following port in lower level going up hierarchy error (Bug #3670).
FIX: In EditWindow.upHierarchy check that selectedExport is linked.
BUG: (3/2/12, SMR) Want Verilog writer to avoid re-declaring signals
already mentioned in "Verilog declaration" (Bug 3663).
FIX: Examine declarations and remove from automatic generation.
BUG: (3/1/12, SMR) "Instance name" visibility doesn't work (Bug #3647).
FIX: Removed it from Layers tab.
BUG: (3/1/12, SMR) Vector cache crashes (Bug #3659).
FIX: Fixed VectorCache.renderPoly().
BUG: (3/1/12, SMR) Layer drawing fails when width=32 (Bug #3660).
FIX: Fixed AlphaBlender.composeLine().
BUG: (3/1/12, DN) DELIB reader forgives duplicate cells (Bug #3657).
FIX: Don't forgive duplicate Cells.
BUG: (3/1/12, DN) Exception while attempting to write scan chain XML file (Bug #3655).
FIX: Better diagnostics in ScanChainXML when Jtag Controller is undefined.
IMP: (2/29/12, GVG) Adding arc and pin to AP layer in tsmc40 tech.
BUG: (2/29/12, DN) Out of Bounds Exception (Bug #3648).
FIX: In CellRevision.with consider cases when all arcs or nodes are deleted.
IMP: (2/28/12, GVG) Better catching of invalid rule name in Tech.txt file used in Wizard (Bug #3413)
IMP: (2/28/12, GVG) Automatically highlight annotation when it gets created by makeTemplate (Bug #3645)
IMP: (2/28/12, SMR) "Change" dialog now has option to evaluate numbers
when sorting names (Bug #3646).
IMP: (2/28/12, SMR) "Show Network" now shows like-named nets in other cells
(in the same cell group) that are being displayed (Bug #3603).
IMP: (2/27/12, GVG) Make Layout Text/Image only for Layout technologies (Bug #3643)
BUG: (2/27/12, GVG) Check error for arc in 3D (Bug #3606)
FIX: Better warning messages when nodes/arcs are flat and can't be displayed in
3D.
BUG: (2/27/12, SMR) "Select Object" doesn't work right if multiple networks
have the same name (Bug #3603).
FIX: SelectObject.java must track the object, not just the name.
BUG: (2/27/12, SMR) Vector cache doesn't update cell instances properly (Bug #3625).
FIX: Fixed RTNode search of entire tree.
BUG: (2/25/12, SMR) Cell variables are not drawn in new vector cache (Bug #3627).
FIX: Label and update cell variables.
BUG: (2/24/12, GVG) Still "accessed from null" (Bug #3587)
FIX: Values are cached now.
IMP: (2/24/12, GVG) Ability to control the GDS file used by external connection to Calibre (Bug #3629)
This feature doesn't go into the manual.
IMP: (2/24/12, GVG) Ability to specify file in Set Netlist Cell from File (Bug #3628)
BUG: (2/24/12, GVG) NPE in MakeTemplate (Bug #3626)
FIX: Just detect the case when the cell is null and abort the job.
BUG: (2/24/12, DN) Crashes when moving cell group to a different library (Bug #3622).
FIX: In VectorCache.updateVectorCache check if killed Cell was in cache.
BUG: (2/23/12, SMR) Dragging cells to a different window doesn't update focus (Bug #3624).
FIX: Request focus correctly in PaletteFrame.PlaceNewNode.terminateOK().
BUG: (2/23/12, SMR) Crashes when moving cell group to a different library (Bug #3622).
FIX: Must check return value of Cell.getCellGroup() everywhere.
BUG: (2/23/12, SMR) Crashes when editing cell with a deleted export (Bug #3623).
FIX: In SnapshotAnalyze constructor, test for null Export.
BUG: (2/22/12, SMR) Keys getting lost (Bug #3612).
FIX: Rework of key handler (may not solve problem).
BUG: (2/22/12, DN) Edit->Change throws exception (Bug #3619).
FIX: In ReplaceBuilder.CellBuilder.commit add condition "oldArcIndex < arcInsertionPoint".
BUG: (2/16/12, SMR) Status bar doesn't update after a click, only a mouse move (Bug #3609).
FIX: Added status bar update to ALL mouse listeners in EditWindow.java
BUG: (2/22/12, DN) New display algorithm doesn't always show cells correctly (Bug #3620).
FIX: In LayerDrawing.drawCell consider orientation when prepare bounds for R-Tree search.
Also fixed VectorDrawing.render() to consider orientation.
IMP: (2/21/12, SMR) "Manipulate Exports" and "Manipulate ports on node"
dialogs are now modeless and can "show" or "highlight" (Bug #3600).
************************* Version 9.02-d: ******************************
IMP: (2/29/12, GVG) Adding arc and pin to AP layer in tsmc40 tech.
IMP: (2/20/12, DN) Remove class CellBackup.Memoization .
IMP: (2/18/12, DN) Faster Node Change when "all in cell" is selected.
BUG: (2/17/12, GVG) NPE in EditWindow:upHierarchy (Bug #3611)
FIX: Catching the NPE condition. Added println in debug mode for further analysis
IMP: (2/16/12, SMR) Rotate command now works on text (Bug #3597).
BUG: (2/16/12, SMR) Deleting an export doesn't report properly (Bug #3610).
FIX: Fixed CircuitChangeJobs.DeleteSelected.doIt().
BUG: (2/16/12, SMR) Status bar doesn't update when a different port is selected
on the same node (Bug #3609).
FIX: Removed highlighter exclusion from StatusBar.java
IMP: (2/14/12, DN) Refactor database.text.Name into abstract class and implementation.
BUG: (2/14/12, DN) NPE in Edit->Change (Bug #3607)
FIX: NodeInst.Replacement.getAssoc catching if newProto is null
BUG: (2/14/12, GVG) NPE in Edit->Change when changing arcs (edit) (Bug #3608)
FIX: Catching if np is null
IMP: (2/14/12, DN) Save Felix's dependency injection in branches/felix-injection .
BUG: (2/13/12, GVG) File exception due to no write permission by log4j (Bug #3387)
FIX: Refactored some classes and clean the code where the OS was hard-coded.
IMP: (2/13/12, GVG) Better description of external scripts running under the AsyncMenu
IMP: (2/9/12, DN) Lookup IRSIM and Scala layout merger by ServiceLoader.
BUG: (2/8/12, SMR) Huge cells take too long to redisplay (Bug #3598).
FIX: Added R-Trees to VectorCache and eliminate drawing of cells less than 1 pixel big.
IMP: (2/7/12, DN) Refactor ImmutableLists of ImmutableNodeInsts, ImmutableArcInsts, ImmutableExports.
A class CellRevisionProvider that creates instance of ImmutableLists.
IMP: (2/6/12, DN) Guard by Job.getDebug() some self-checks in Network code.
IMP: (2/5/12, DN) CellRevision allocates less memory for Cells that haven't been edited.
ImmutableNet uses nodeIndex and arcIndex from CellRevision.
BUG: (2/3/12, GVG) Small defect error after running multiple times DRC? (Bug #3588)
FIX: Stop DRC if zero width arc is detected since other errors might be triggered.
BUG: (1/30/12, SMR) LEF and DEF files must be read together (Bug #3586).
FIX: LEF reader stores vias in library for use by DEF reader.
************************* Version 9.02-c: ******************************
IMP: (1/26/12, SMR) Rolling the mouse wheel in zoom mode now works (Bug #3584).
IMP: (1/25/12, SMR) Improved icon generation for layout to aggregate busses
and keep aspect ratio (Bug #3582)
BUG: (1/24/12, SMR) Generating schematics from layout crashes (Bug #3582).
FIX: Fixed ViewChanges.java, and also expanded the "Make Icon" function so that it
can make an icon directly from a layout.
BUG: (1/22/12, DN) Highlight coloring does not work correctly for coincident layout objects using ctrl-select (Bug #3579).
FIX: Move mouseOverHighlighting from EditWindow.paintComponent to Drawing.paintComponent.
IMP: (1/18/12, SMR) Can now route subcells in SOG router (Bug #3428).
IMP: (1/18/12, GVG) Updating reference to local machine.
************************* Version 9.02-b: ******************************
IMP: (1/12/12, SMR) Shift-middle-drag now lets you drag-out an area
and select objects in that area, without moving anything (Bug #3569).
BUG: (1/12/12, SMR) Verilog model files with errors crash the netlister (Bug #3568).
FIX: Fixed verilog parser to handle "?" in assigns; fixed Verilog netlister to
include code that has parse failures.
BUG: (1/9/12, GVG) Wrong min area value for M1/M2? (edit) (Bug #3551)
FIX: The value was relaxed and now taking the first data for Mx.
BUG: (1/5/12, SMR) Verilog reader doesn't handle 1'b1 constants (Bug #3567).
FIX: Fixed CompileVerilogStruct.java to handle it.
BUG: (1/4/12, DN) Router doesn't mark database "dirty"s (Bug #3565)
FIX: In SeaOfGatesHandlers.DefaultSeaOfGatesHook.flush mark changed Library.
BUG: (1/4/12, GVG) Deleting last node of pure arc causes array out of bounds (Bug #3566)
FIX: Detecting if the HighlightEOBJ has valid information of the object.
BUG: (1/4/12, SMR) Node extraction fails when implants are missing (Bug #2401).
FIX: Note missing implants and warn that they may be in subcells and
can be extracted if Preferences are corrected.
************************* Version 9.02-a: ******************************
BUG: (1/3/12, SMR) Wiring may move endpoints and fail to run arc (Bug #3561).
FIX: Fixed InteractiveRouter.getClosestValue() to keep inside bounds.
BUG: (1/3/12, SMR) Calibre DRC crashes (Bug #3563).
FIX: Fixed CalibreDrcErrors.parseErrorPoint().
BUG: (1/3/12, SMR) Error messages when writing Spice deck for Schematics (Bug #3562).
FIX: In Spice.addNodeInformation(), get network information only if the polygon
is valid.
BUG: (12/28/11, SMR) Gerber I/O not consistent (Bug #3508).
FIX: Fixed output and input.
BUG: (12/28/11, SMR) Spice waveform plotting crashes (Bug #3556).
FIX: In Stimuli.getMaxTime() check for null simulation engine.
BUG: (12/22/11, SMR) Mouse-over is slow and incorrect (Bug #3554).
FIX: Fixed ClickZoomWireListener.mouseOver().
BUG: (12/21/11, DN) Wrong number of DRC errors in schematics (Bug #3553).
FIX: Return Schematic.schematicDoCheck() to the behavior before the change of node bounds calculation.
BUG: (12/21/11, SMR) Schematic DRC fails with new pin sizes (Bug #3550).
FIX: Fixed Schematic.schematicDoCheck() to test zero-size by comparing with
the primitive's base rectangle, and only for bus pins.
BUG: (12/21/11, SMR) Status bar doesn't update in MDI mode (Bug #3549)
FIX: In StatusBar constructor, always call Highlighter.addHighlightListener(this).
BUG: (12/20/11, DN) Cross-Library Copy Argument Exception (Bug #3547).
FIX: Specialize ElectricObject.copyVarsFrom to subclasses. NodeInst.copyVarsFrom respects TRACE variable.
IMP: (12/20/11, DN) Calculation of node bounds doesn't require CellBackup.getMemoization() .
IMP: (12/16/11, DN) Calculation of port shape doesn't require CellBackup.getMemoization() .
IMP: (12/16/11, DN) Better scaling of isolated port in schameatic:And/Or/Xor .
IMP: (12/13/11, DN) Database invariant: ImmutableNodeInsts with trace always has Orientation.IDENT .
BUG: (12/13/11, DN) Some primitive ports have negative width.
FIX: Swap lx and hx in
cmos90:P-Transistor:diff-right
cmos90:N-Transistor:diff-right
cmos90:VTH-P-Transistor:diff-right
cmos90:VTH-N-Transistor:diff-right
cmos90:VTL-P-Transistor:diff-right
cmos90:VTL-N-Transistor:diff-right
cmos90:P-Well-RPO-Resistor:right-rpo
cmos90:N-Well-RPO-Resistor:right-rpo
cmos90:P-Poly-RPO-Resistor:right-rpo
cmos90:N-Poly-RPO-Resistor:right-rpo
IMP: (12/11/11, DN) Methods PrimitiveNode.genShape and PrimitivePort.genShape .
BUG: (12/8/11, SMR) Verilog port-direction analysis is wrong (Bug #3534)
FIX: Better analysis counts the number of outputs on a network.
IMP: (12/1/11, SMR) Added "Global Routing" to Sea-of-Gates routing preferences (Bug #3428).
BUG: (12/1/11, SMR) Technology editor crashes converting Technology to Library (Bug #3520)
FIX: ArcInfo.compactCell() converts ERectangle to Rectangle2D before changing it.
IMP: (12/1/11, DN) Get rid of NodeLayer.fixup .
IMP: (11/26/11, DN) Technologies EFIDO, PCB and RCMOS are defined by xml.
IMP: (11/26/11, DN) PrimitivePort is immutable.
BUG: (11/25/11, FS) Catch NumberFormatException in minarea API, if min area value is a double (Bug #3300)
FIX: Add try and catch for minarea parameter and errorLogger parameter
IMP: (11/24/11, DN) Arc bounds don't consider shrinkage when CellBackup.ARC_BOUNDS_CONSIDER_SHRINKAGE=false.
BUG: (11/22/11, DN) Mouse highlight is slow when a single highlight is selected (Bug #3504).
FIX: In LayerDrawing algorithm redraw connected network in render thread.
IMP: (11/21/11, DN) DBMath.pointInRect on fixed-point point/rect returns exact result
not considering TINYDELTA.
IMP: (11/20/11, DN) Fixed-point AbstractFixpRectangle.
ERectangle becomes its subclass. ERectangle doen't store double coordinates.
Mutable FixpRectangle is another its subclass.
Geometric bounds are ERectangles. Poly bounds are FixpRectangles.
R-Tree implementation respects subclasses of AbstractFixpRectangle.
IMP: (11/18/11, DN) FixpTransform is an AffineTrasnsform that can transform fixed-point coordinates.
BUG: (11/16/11, DN) Display algorithms redraw all highlights on every mouse move (Bug #3504).
FIX: LayerDrawing algorithm redraws most highlights on selection change only.
Mouse-over highlight color has transparency component, because main highlights
are drawn before mouse-over highlights now.
IMP: (11/16/11, DN) Make Highlighters almost immutable.
BUG: (11/13/11, DN) EditWindow.pulsatingTimer scans all highlights (Bug #3504).
FIX: Highlighter supports a list of "difficult" highlights. Pulsating timer scans them.
BUG: (11/13/11, DN) StatusBar.updateSelected text is called too often (Bug #3504).
FIX: Flags StatusBar.highlighterShown indicates that no update is necessary.
More accurately track Highlighter.change .
IMP: (11/13/11, DN) Keep Poly points in a special class PolyBase.Point .
IMP: (11/13/11, DN) Technology objects keep coordinates in ECoord objects.
IMP: (11/12/11, DN) Resolution is ECoord object.
BUG: (11/11/11, DN) Deprecated Technology.getFactoryScaledResolution() is still used in GDS.
FIX: Replace by Technology.getFactoryResolution().
BUG: (11/10/11, DN) Serialization error in Cleanup Cell (Bug #3500).
FIX: Remove EditingPreferences field from CircuitsChangeJobs.Reconnect .
IMP: (11/9/11, SMR) Added new context menu entry: "Close All at This Level" to collapse
the current location in the Explorer tree (Bug #3491).
IMP: (11/8/11, DN) Rename Dimension2D.Double to com.sun.electric.util.EDimension and make it immutable.
Make it aligned to 2/DBMath.GRID .
BUG: (11/8/11, DN) Users of electric-core library can't compile because of new ElectricPreferences parameters.
FIX: Restore some deprecated method from Version 9.00 .
BUG: (11/8/11, DN) Regressions failed because too much was deleted in revision 13353 .
FIX: Restore method Schematics.getShapeOfPort().
IMP: (11/7/11, DN) Canonize outline of FILLED, CLOSED, OPENED pure layer nodes - removed duplicate adjacent vertices.
For FILLED and CLOSED consider also same start and end points.
Sometimes boxes are encoded by 5-point pure layer nodes.
After canonization ShapeBuilders recognize such nodes as boxes.
IMP: (11/6/11, DN) Remove package com.sun.electric.util.concurrent from electric-core to avoid clash with electric-concurrent.
The class ElectricWorkerStrategy became an anonymous inner class of Main.
Rename package com.sun.electric.tool.io to com.sun.electric.util.io in electric-utils to
avoid clashes with electric-core.
Move PropertiesUtils and BaseProperties from electric-core to electric-utils.
Split TextUtils into database-independent com.sun.electric.util.TextUtils in electric-utils and
database-dependent com.sun.electric.database.text.TextUtils in electric-core .
IMP: (11/5/11, DN) New AbstractShapeBuilder and tis subclasses.
Uses fixed-point coordinate encoded in longs instead of former double coordinates.
IMP: (11/3/11, DN) Simplify parallel Dijkstra threads in SeaOfGates.
Winning thread commits route layout. Second thread releases resources.
So there is no need in special termination actions.
BUG: (11/2/11, DN) Error parsing in XML tech confusing (Bug #3474).
FIX: In TechPool change text of ErrorMessage.
BUG (10/27/11, SMR) Wiring horizontally when grain is 45-degrees is unstable (Bug #3468).
FIX: InteractiveRouter.getClosestAngledPoint().
IMP: (11/2/11, DN) Repair SeaOfGatesEngineNew3. Remove SeaOfGatesEngineNew and SeaOfGatesEngineNew2.
IMP: (10/31/11, DN) Don't use thread-local EditingPreferences.
BUG: (10/29/11, DN) Cell.CellGroups.equals is true on same-named Cells from different Libraries.
FIX: Cell.CellGroups.equals compares libraries too.
BUG: (10/27/11, DN) Electric starts AWT thread in "-batch" mode.
FIX: Remove "javax.media.j3d.VirtualUniverse" from econfig.xml .
BUG (10/27/11, SMR) Wiring at 45-degree angles doesn't work (Bug #3468).
FIX: InteractiveRouter.planRoute and InteractiveRouter.getConnectingPoints().
IMP: (10/27/11, DN) Release electric-minarea-api-2.1 .
Add parent projected for minarea implementations:
electric-minarea-parentjava-0.1
electric-minarea-parentscala-0.1 .
BUG: (10/26/11, SMR) ALS simulation adds transitions at 200ns (Bug #3467).
FIX: In ALS.addExports() do not put signal at 200ns. Instead, added new
Engine method getMinTimeRange() to force window size.
BUG: (10/25/11, DN) Binary jar doesn't contain JMF.
FIX: Change scope of JMF from provided to default.
Search implementation of MoviceCreator with ServiceLaoder .
BUG: (10/23/11, DN) Poly that contains box is flagged bitRectangle=1 .
This tag is not cleared after non-Manhattan rotation.
FIX: PolyBase.transform clears bitRectange flag.
IMP: (10/22/11, DN) Methods that access thread-local EditingPreferences are
replaced by method with explicit EditingPreferences parameter. Old methods are deprecated.
BUG: (10/15/11, DN) Regressions with logging failed.
FIX: In log4j.xml set console Target to System.err .
IMP: (10/14/11, DN) Replace slf4j-log4j12 by slf4j-jdk14 in dependencies of
electric-core and electric-distribution.
IMP: (10/14/11, DN) Replace Java Logger by slf4j Logger in sources.
BUG: (10/14/11, DN) Electric hangs during startup (Bug #2391).
FIX: In UserInterfaceMain constructor push a dummy Runnable into old EventQueue before
starting new EventQueue.
IMP: (10/12/11, DN) Move fast JELIB reader from private debug menu to public debug menu.
IMP: (10/12/11, FS) Move minarea debug menus to a public debug menu. Launch Electric
with option "-debug"
IMP: (10/11/11, DN) Move electric/electric-test to plugins/electric-utils.
IMP: (10/10/11, SMR) Added "Tools / Generation / Multi-Finger Transistor Cell..."
to generate cells with multi-finger transistors (Bug #3434).
************************* END OF Version 9.01, GNU RELEASE *************
************************* Version 9.01-e: ******************************
IMP: (9/28/11, GVG) Ability to load other libraries stored in a resource location.
Submenu in LE will appear if given library is available in com/sun/electric/lib/
BUG: (9/28/11, DN) Electric slowly loads huge libraries.
FIX: Don't internalize strings in text.Name .
IMP: (9/23/11, GVG) Moved experimental min area commands under Tool/DRC into
Sun menu until they are well documented.
IMP: (9/15/11,SMR) Routing improved: honors horizontal/vertical layer
usage; has debugging mode for failed-route visualization; has
"selection" preference for routing mode that disables topology
changes (Bug #3428jn).
BUG: (9/15/11, SMR) Verilog conversion to rats-nest fails for layouts
that come from Verilog with busses (Bug #3427jn).
FIX: When in "schematic" mode, allow unrouted arcs if wires don't fit.
IMP: (9/13/11, DN) Clean plugin artifacts for new maven repository. New plugin versions are:
electric-parent-5
electric-irsim-api-0.1
electric-minarea-api-2.0
electric-movie-api-1.1
electric-irsim-0.3
electric-movie-jmf-1.0 is disabled because jmf-2.1.1e is not in central maven repository.
IMP: (9/13/11, DN) Remove deprecated method from electric-minarea-api.ErrorLogger interface.
IMP: (9/10/11, DN) Clean maven pom.xml's for new maven repository.
Remove unused dependency on minarea-deltamerge0 from electric-sunlabs.
Don't create jar-with-dependencies in electric-minarea implementations.
IMP: (8/19/11, GVG) Better handling of assertion in TechEditorWizard (Bug #3410)
Printing string with parser error. Exception only in debug mode.
BUG: (8/16/11, GVG) ArrayIndexOutOfBoundsException in tech wizard (Bug #3404)
FIX: Detecting when via size mismatches with metal size while uploading txt file with
tech information.
BUG: Scala don't work in Eclipse 3.7 (Bug #3378jn)
FIX: Remove unnecessary test cases in electric-merge to fix the compile cycle in Eclipse 3.7
IMP: (8/3/11, SMR) Improvements to LEF/DEF reader (Bug #3386jn).
IMP: (7/21/11, GVG) It would be good to add a reminder in the manual that (Bug #3375)
those "accessed from JavaBsh script" messages can't be avoided
BUG: (7/21/11, FS) Fix javadoc issues with doc-files (Bug #3376)
FIX: add the necessary information to the pom.xml file
BUG: (7/19/11, SMR) HSpice output with multiple TR files crashes if the files
have multiple sweeps in them (Bug #3368jn).
FIX: Handle all sweeps from all files (may not be right).
BUG: (7/19/11, SMR) "Make Alternate Layout" gives warnings about preferences (Bug #3373jn)
FIX: Removed unused IconParameters declaration in ViewChanges.MakeLayoutView.MakeLayoutVisitor.
IMP: (7/15/11, GVG) Use library name when exporting GDS data with allCells option on (Bug #3369jn)
IMP: (7/12/11, GVG) Little information in case of starting external script
from a non-EditWindow window (Bug #3367jn)
Added extra messages to clarify the execution since external scripts run async'd
BUG: (6/27/11, SMR) Want to be able to force a number of processors in SOG routing (Bug #3219jn)
FIX: Now have Routing preference to force a number of processors.
BUG: (6/16/11, GVG) DRC throws assertion on MOCMOS NPN transistors (Bug #3358jn)
FIX: Detecting the case and avoid the assertion check
BUG: (6/6/11, DN) Rotated nodes connected with rigid arcs constrain badly (Bug #3278jn).
FIX: Fixed LayoutCell.java
************************* Version 9.01-d: ******************************
BUG: (5/9/11, SMR) Missing extension in file read not always resolved (Bug #3152jn).
FIX: Fixed reading file and preferences.
BUG: (5/5/11, SMR) Expansion status gets turned off (Bug #2630jn).
FIX: Fixed many operations (change, rename, etc.)
IMP: (5/5/11, SMR) Initial Gerber export added (Bug #3305).
BUG: (5/4/11, SMR) Expansion state not being used properly (Bug #3302).
FIX: Fixed PostScript, HPGL, SVN, Array, cut/copy/paste, cell package/extract,
Get-info. Also added first Gerber output module.
BUG: (5/4/11, SMR) CIF regression crashes (Bug #3303).
FIX: Handle cell expansion tests better.
BUG: (5/3/11, SMR) Cell instance expansion wrong inside Jobs (Bug #3302jn).
FIX: Caching expansion before calling Jobs.
BUG: (5/3/11, SMR) Arcs in lower-levels of HPGL are incorrect (Bug #3296jn).
FIX: Transform arcs appropriately.
BUG: (5/3/11, DN) Assertion while reading minarea file found in simple.tar.gz (Bug #3291)
FIX: In MinArea.convertLayoutCell use Cell.newInstance to avoid creation of CellCenterNode.
BUG: (5/2/11, SMR) Technology editor crashes on bad technology (Bug #3292jn).
FIX: Fixed technology generation to be more informative and forgiving.
BUG: (4/28/11, SMR) Waveform window has memory leak (Bug #3145jn).
FIX: Moved CachingPageStorage to Stimuli and improved cleanup there.
BUG: (4/14/11, SMR) Behavioral Verilog netlists (with Sun menu) should suppress
parameters on standard cells; should write "parameter" statements for
cell parameters, and should use those names instead of values (Bug #3050).
FIX: Done in Verilog.java.
BUG: (4/12/11, SMR) Text Preferences doesn't complain about invalid size numbers (Bug #3039).
FIX: Check number validity.
BUG: (4/11/11, SMR) IRSIM waveform window resets all signals at 10ns (Bug #3048).
FIX: Fixed Analyzer.convertStimuli() and also Panel.setXAxisRange.
BUG: (4/11/11, SMR) Verilog compiler should ignore behavioral code (Bug #3049).
FIX: Ignoring "initial begin" blocks.
************************* Version 9.01-c: ******************************
IMP: (4/6/11, SMR) New GDS Export Preference: "Export all cells in Library" (Bug #3047).
BUG: (4/4/11, SMR) Want to reduce the number of Verilog compilers (Bug #2991).
FIX: Switched all Verilog compilation to the new one.
BUG: (4/4/11, SMR) Want to convert Verilog to schematics (Bug #3046).
FIX: Added Verilog preference to choose the cell type.
BUG: (3/30/11, SMR) Existing Verilog parser crashes (Bug #3032).
FIX: Switching the "File / Import / Verilog" command to use the new Verilog parser.
BUG: (3/29/11, SMR) Text Preferences gives error if sizes is blank (Bug #3039).
FIX: Do not change size if new value is invalid.
IMP: (3/27/11, DN) Add command "TOool|DRC|check minarea...".
BUG: (3/9/11, SMR) Mirroring a node with the "getinfo" dialog doesn't adjust
text that is offset and non-centered (Bug #3042).
FIX: Added calls in GetInfoNode.ChangeNode.doIt() to use CircuitChangeJobs methods.
BUG: (3/4/11, SMR) Node "getinfo" dialog doesn't work for polygonally-defined nodes (Bug #3041)
FIX: In GetInfoNode.ChangeNode.doIt() handle polygonal nodes specially.
IMP: (3/2/11, GVG) How to generate lay files from Electric libraries (Bug #3038)
Scale is set to largest common divisor of all coordinates.
IMP: (3/2/11, GVG) How to generate lay files from Electric libraries (Bug #3038)
Made available export to vectorCache format from ToolMenu/DRC menu.
BUG: (2/25/11, GVG) Exception on verilog netlisting (Bug #3032)
FIX: Moved module reading so anything coming in the file will be ignored and
there is no need to add new parameters. Modules must be defined before they are
instantiated.
BUG: (2/25/11, GVG) Out of Bounds Exception in Verilog reader (Bug #3037)
FIX: Due to parameters now described with instances (start with #). Skipping them now.
BUG: (2/25/11, SR) Verilog external code must appear at beginning of netlist (Bug #3026)
FIX: moved the top-level cell's external code to the top of the file.
BUG: (2/25/11, DN) Add Import minarea test to DRC menu.
BUG: (2/24/11, SMR) Editing text cells in an external editor is broken (Bug #3035).
FIX: Fixed recovery of external editor data. Also added context menu entry
in Explorer Tree that lets you edit a cell without displaying it first.
BUG: (2/23/11, GVG) exception on verilog netlisting (Bug #3032)
FIX: Added more elements to ignore while parsing the Verilog file
BUG: (2/22/11, FS) exception on verilog netlisting (Bug #3032)
FIX: add the missing libraries to maven.dependencies
BUG: (2/22/11, FS) Add information about the installed plugins to the About Dialog (Bug #2981).
FIX: If Java3d is not installed in the JDK folder, the compilation and the about dialog crashes.
BUG: (2/21/11, DN) Add information about the installed plugins to the About Dialog (Bug #2981).
FIX: Add information about plugins to "About" dialog.
BUG: (2/20/11, DN) Manhattan minarea checker can't handle serpentine transistors (Bug #3031).
FIX: In database.geometry.bool.VectorCache recognize Manhattan polygons.
************************* Version 9.01-b: ******************************
IMP: (2/16/11, SMR) Improved "About" dialog to show Electric environment (Bug #3008).
IMP: (2/8/11, SMR) New command "Tools/Placement/Floorplan and Place Current Cell"
automatically selects appropriate placement sequence from cell characteristics. (Bug #2977).
IMP: (1/31/11, GVG) Make available routing quality metrics as a command (Bug #3027)
Submenu "Routing Quality Metric" was added. It works on current cell.
IMP: (1/31/11, GVG) Adding execution time to WireQualityMetric
BUG: (1/31/11, GVG) exception when attempting to change net name (Bug #3026)
FIX: Defining constructor ArcInstKey() with no parameters.
BUG: (1/31/11, DN) Arc creation is slow (Bug #3016).
FIX: In NodeInst.getShapeOfPort avoid call of getCellTree() when handle shape of subcell ports.
************************* Version 9.01-a: ******************************
IMP: (1/28/11, DN) Set electric-irsim version to 0.1 .
BUG: (1/278/11, SMR) Invalid networks in Sea-of-Gates router are ignored (Bug #3023).
FIX: Remove them and report error in error-log (not messages window).
IMP: (1/27/11, GVG) UnroutedNets and StackedVias metrics for Bug #3013
BUG: (1/27/11, GVG) NPE in Select Object if no cell is in EditWindow (Bug #3024)
FIX: Detecting the null cell
IMP: (1/27/11, GVG) Better ErrorLog Info in SeaOfGates when it can't route nets (Bug #3022)
BUG: (1/27/11, SMR) Infinite loop in sea-of-gates router (Bug #3020).
FIX: DRC loop in SeaOfGatesEngine.advanceWavefront() exits on no-progress.
BUG: (1/27/11, SMR) Sea-of-gates router does not always abort (Bug #3019).
FIX: Pass "job" to all routing methods and use it.
IMP: (1/26/11, GVG) Metrics for routing tool (Bug #3013)
IMP: (1/21/11. GVG) Cells created by Bookshelf importer should use a layout tech (Bug #3005)
Mocmos will be used as layout tech and m1 pins
BUG: (1/21/11, GVG) ImportBOOKSHELF test doesn't pass (Bug #2996)
FIX: Just updating the data since nothing wrong with the latest generated library.
IMP: (1/20/11, SMR) More Verilog compilation features (Bug #2991).
BUG: (1/12/11, SMR) Placement framework creates invalid arcs (Bug #3014).
FIX: In PlacementAdapter.doPlacement(), compute arc end coordinates.
BUG: (01/07/11, FS) Concurrent modification exception in ForceDirected2 (Bug #3011)
FIX: Use Iterator instead of for-each loop
IMP: (01/6/11, SMR) Added new Placement algorithm for row/column based
Simulated Annealing (Bug #2977).
BUG: (01/06/11, FS) Unexpected assertion in Genetic Placement 1 (Bug #3010)
FIX: remove assertion
BUG: (01/04/11, FS) Exception in experimental SeaOfGates (Bug #2997)
FIX: Use the electric worker strategy
BUG: (12/18/10, DN) Failure in GDS reader when include text is enabled.
FIX: In input.GDS makeText fix if condition to ulm != null.
BUG: (12/28/10, SMR) Unroute removes well/substrate contacts (Bug #3003).
FIX: In Routing.findNetEnds() allow well/substrate primitives.
BUG: (12/27/10, SMR) Crash when drawing arc (Bug #3006).
FIX: Fixed Highlighter.findAllInArea() to calculate need for temp names only if necessary.
IMP: (12/22/10, FS) Add logging facade and logging environment
Bug: (12/22/10, FS) AStar 2 doesn't care about timeouts
FIX: Add some timeout information
Bug: (12/22/10, FS) Lee Moore 1 doesn't terminate on small designs (Bug #3001)
FIX: Accept small partitions on small designs
BUG: (12/22/10, FS) Lee Moore 1 doesn't care about timeouts (Bug #2999)
FIX: Timeout handling added
BUG: (12/20/10, GVG) Bookshelf reader could not read all files (Bug #2992)
FIX: Detect cases when invalid weight values are found in the file. Assigning Integer.MAX_VALUE
BUG: (12/18/10, GVG) NPE in CompileVerilogStruct with Verilog file generated by Design Compiler (Bug #2994)
FIX: Detect the case and stop the execution.
IMP: (12/13/10, SR) Want to read structural Verilog for place-and-route (Bug #2991).
First changes in.
BUG: (12/12/10, DN) Silicon Compiler can't handle Alliance structural VHDL because of vdd/vss names (Bug #2989).
FIX: Enhance handling power/ground names in CompileVHDL .
BUG: (12/13/10, FS) Eval<Languaga>.java in database package (Bug #2980)
FIX: Java files moved to com.sun.electric.tool.lang and created a JUnit test case
IMP: (12/12/10, DN) CIF reader can read Exports (disabled b io.input.CIF.MAKE_EXPORTS = false.
BUG: (12/12/10, DN) Should the configurator messages be avoided by default (Bug #2978).
FIX: In XmlInitSav.int log with CONFIG level instead of INFO level.
BUG: (12/12/10, DN) Ability to read structural VHDL generated by Alliance (Bug #2988).
FIX: Do necessary fixes in CompileVHDL. Add adder4{vhdl} to Generation regressions.
************************* END OF Version 9.00, GNU RELEASE *************
************************* Version 9.00-r: ******************************
BUG: (12/8/10, DN) CIF reader stops at unknown user commands (like 4 ... ) (Bug #2983).
FIX: In io.input.CIF.parseStatement issue USERS command on unknown user commands.
BUG: (12/8/10, DN) CIF reader numerate lines from 0 in error messages (Bug #2982).
FIX: In io.input.CIF.errorReport use 1-base line numbers.
BUG: (12/8/10, DN) CIF reader truncates layer names to 4 characters (Bug #2979).
FIX: In io.input.CIF.parseStatement() remove 4-characters restriction.
BUG: (12/8/10, DN) Crash if Max Perm Space is set (Bug #2963).
FIX: Launcher launches Launcher with -NOMINMEM instead of Main.
BUG: (12/8/10, DN) electric-core doesn't compile on 64-bit compiler.
FIX: Increase compiler memory from 256m to 384m.
BUG: (12/8/10, SMR) CIF input loses precision in scaled cells (Bug #2971)
FIX: In CIF.java, round values after scaling them.
BUG: (12/7/10, DN) maven.dependencies in electric-core includes electric-sunlabs (Bug #2975)
FIX: Exclude maven.dependencies in huge-jar distribution (bin, gnu, gnubin).
BUG: (12/5/10, DN) javax/media/Manager on MacOSX? No 3D Control dialog?
FIX: Less print when JMF is absent.
IMP: (12/3/10, DN) Repair build in maven-2.3.1 in electric-parent.
Switch to version com.sun.electric:electric-parent:2
BUG: (12/2/10, GVG) java.lang.OutOfMemoryError while running "mvn install" (Bug #2969)
FIX: Increased maxmem in pom.xml to 256m
IMP: (12/1/10, DN) Rename package com.sun.electric.scala to com.sun.electric.database.geometry.merge .
BUG: (12/2/10, FS) Test errors in BlockedRangeTest (electric-concurrent)? (Bug #2967)
FIX: fix heap size issue
IMP: (12/1/10, DN) Add build.xml and scala runtime to the GNU distributions.
************************* Version 9.00-q: ******************************
BUG: (11/25/10, DN) Too verbose message when there no scala runtime.
FIX: Handle LinkageError during parsing econfig.xml .
BUG: (11/21/10, FS) well check hangs (Bug #2965)
FIX: handle if number of well areas is smaller then number of threads
BUG: (11/19/10, FS) Not possible to set thread number in ERC (Bug #2960)
FIX: Use a preinitialize variable to set #threads
BUG: (11/18/10, SMR) GDS output is bad for polygons with holes (Bug #2957).
FIX: In GDS.outputBoundary() fixed point generation.
IMP: (11/18/10, FS) New task structure in PI
IMP: (11/16/10, DN) Current version and buildDate in Version.java are obtaned by Maven filtering.
IMP: (11/16/10, FS) Handle enums as parameters in the configuration framework
BUG: (11/15/10, SMR) R-Tree is not marked "dirty" when nodes added/removed (Bug #2956).
FIX: Fixed in Topology.java
IMP: (11/14/10, DN) Irsim doesn't import electric classes.
BUG: (11/13/10, DN) Version number must be updated in many places (Bug #2950)
FIX: Request filtering for maven.dependencies .
IMP: (11/10/10, FS) Remove unnecessary system.out: electric-configuration (Bug #2947)
BUG: (11/10/10, DN) Memory leak in IRSIM simulation (Bug #2953).
FIX: Don't keep pointers to Panels, Signals, Listeners in static variables in com.sun.electric.tool.user.waveform .
IMP: (11/9/10, DN) Replace ProgressDialog in electric-irsim by ProgressMonitorInputStream in electric-core.
IMP: (11/9/10, DN) Move electric-jmf to trunk/plugins/electric-movie-api and trunk/plugins/electric-movie-jmf .
BUG: (11/6/10, DN) In samples:tech-MOSISMOS n-transistors are connected to vdd and p-transistors are connected to gnd (Bug #2952)
FIX: Edit samples:tech-MOSISMOS - mirror transistors bottom<->up and rename exports.
BUG: (11/4/10, DN) "ant mac-app" using mvn? Doesn't work for sunlabs (Bug $2948)
FIX: Copy app-resources from electric-distribution to electric-sunlabs.
This is a quick solution that violates "Don't repeat yourself"
IMP: (11/3/10, DN) Move OpenFile dialog and IOException handling out of IRSIM and ALS Engines.
IMP: (11/3/10, DN) Add com.sun.electric.api.movie.MovieCreator API .
Wrap JMFImageToMovie in com.sun.electric.plugin.JMF.MovieCreatorJMF .
IMP: (11/2/10, DN) Create release of com.sun.electric:electric-parent:1 .
Tag it in "https://svn.sunlabs.com/svn/electric/tags/electric-parent-1 .
Upload this pom artifact to java.net repository .
Switch "trunk/electric/pom.xml" to use electric:parent:1 instead of electric-parent:1-SNAPSHOT .
IMP: (10/31/10, DN) Make pom hierarchy similar to Maven (add trunk/electric/electric-distribution) .
IMP: (10/31/10, DN) Set project version in maven pom.xml files to 9.00-q-SNAPSHOT .
BUG: (10/31/10, FS) ArrayIndexOutOfBoundsException in Parallel Infrastructure (Bug #2945)
FIX: Fix parallel for work distribution
IMP: (10/30/10, DN) distro is created only when profile -Pdistor is activated .
The electric launcher "trunk/electric/electric-core/target/electric-core-SNAPSHOT.jar"
loads other components from local maven repository.
IMP: (10/30/10, DN) One more assembly target "distro" .
This is a directory with all jars and unix/windows scripts to launch the main jar.
Scripts were derived from maven startup scripts.
Distro is invoked by scripts trunk/electric/target/electric-9.0-SNAPSHOT-distro/bin/electric[.bat]
BUG: (10/29/10, DN) "ant mac-app" using mvn? (Bug $2948)
FIX: Reorganize maven projects a little. The parent of all maven projects is electric/electric-parent .
Project electric is a container of subprojects and a project to package huge jar.
Insert a call of osxappbundle-maven-plugin into pom.xml as a template for Gilda .
BUG: (10/28/10, FS) econfig.xml information printed not only in debug mode (Bug 2946)
FIX: removed, because it is not really necessary
************************* Version 9.00-p: ******************************
BUG: (10/20/10, DN) Make syntax of Electric version compatible with maven version (Bug #2943)
FIX: Dash is allowed in Version string. The current version is renamed to "9.00-p" .
Library writers still write version in old format.
IMP: (10/20/10, SMR) GDS import can handle references to existing libraries (Bug #2942).
BUG: (10/19/10, DN) GDS import is all zero-size (Bug #2941).
FIX: Constructors of all subclasses of Input.InputPreferences respect parameter "factory" now.
BUG: (10/19/10, FS) Why did we add the xxxMB/yyyMB text on the bottom bar? (Bug #2940)
FIX: Show memory usage only in debug mode + fix license issue
BUG: (10/19/10, SMR) GDS import is all zero-size (Bug #2941).
FIX: In FileMenu.ImportLibrary constructor, restore call to initFromUserDefaults().
IMP: (10/18/10, SMR) Updated author information in About dialog (Bug #2937).
IMP: (10/18/10, SMR) Standardized error messages for missing components (Bug #2933).
BUG: (10/18/10, SMR) Waveform window and other places show small numbers as zero (Bug #2936).
FIX: Fixed TextUtils.convertToEngineeringNotation().
BUG: (10/14/10, DN) Compiling issue in frehley after last update in main branch (Bug #2935)
FIX: Rename util.config.SetterInject.CreateBy to util.config.CreateBy.
IMP: (10/14/10, FS) Electric-Dependency-Injection: include + parameter + singleton handling
IMP: (10/13/10, FS) Initial version of Electric-Dependency-Injection
BUG: (10/13/10, SMR) "New" graphing commands in Sun Async menu do not compile
if plugin is missing (Bug #2931).
FIX: Made the code use reflection.
IMP: (10/13/10, DN) Add Spring-less dependency injection environment and use it in ERC again.
BUG: (10/13/10, DN) Some Compaction tests failed on Ubuntu boxes (Bug #2932)
FIX: Launch the compareJob before the QuitJob.
BUG: (10/12/10, SMR) Want to be able to rename Waveform Window signals (Bug #2788).
FIX: Can now double-click over signal name in Waveform Window and rename it.
Does not rename crossprobed object in Electric...just assigns a new display name in the Waveform Window panel.
BUG: (10/11/10, DN) java -jar electric.jar doesn't longer work (Bug #2927)
FIX: In Launcher.initClasspath check for null combinedArray.
BUG: (10/11/10, SMR) Spice should not write OPTIONS NOMOD NOPAGE line (Bug #2925).
FIX: Removed the line from Spice.java.
BUG: (10/11/10, SMR) Postscript output fails for colored text (Bug #2922).
FIX: Use EGraphics to make colors.
************************* Version 9.00o: ******************************
BUG: (10/12/10, GVG) Main branch doesn't longer compile (jarLight2) after last sync with Maven (Bug #2929)
FIX: Lines causing the compilation failure in Launcher.java commented out.
BUG: (10/12/10, FS) Rollback log4j + commons-logging + spring (Bug #2926)
FIX: rolled back in trunk
IMP: (10/11/10, FS) Add spring environment and use it in ERC for ThreadPool
IMP: (10/11/10, FS) Additional folder handling for experimental stuff
IMP: (10/11/10, FS) Use log4j and common-logging
BUG: (10/8/10, GVG) TextUtils.eatWhiteSpaces v/s String.trim() (Bug #2907)
FIX: Per our discussion, trimLeading removed from the code.
BUG (10/8/10, FS) Strange debug message in CircularArray (Bug #2920)
FIX: debug message removed
BUG: (10/7/10, DN) Display didn't refresh after changing Text Preference from Bug #2790.
FIX: Implement new TextPreference in GraphicsPreferences instead of EditingPreferences.
IMP: (10/6/10, SMR) New Text Preference to show temporary names on nodes (Bug #2790).
BUG: (10/5/10, SMR) Missing technologies in GNU/debug mode should not give errors (Bug #2916).
FIX: Fixed in TechFactory.p().
IMP: (10/5/10, DN) Cleaned maven and trunk builds.
BUG: (10/5/10, SMR) No confirmation dialog when deleting a cell group (Bug #2915).
FIX: Added dialog in ExplorerTree.java.
IMP: (10/5/10, DN) Jar manifest contains SplashScreen-Image and Class-Path attributes.
BUG: (10/4/10, SMR) Interactive resizing allowed when nodes are locked (Bug #2914).
FIX: In SizeListener.sizeObjects() check for change prevention.
BUG: (10/4/10, SMR) Rotating mirrored nodes does not adjust text properly (Bug #2913).
FIX: In CircuitChangeJobs.RotateSelected.rotateText() removed special case
that depends on the "wasSameMirror" parameter.
BUG: (10/4/10, FS) TextUtils.eatWhiteSpaces v/s String.trim()
FIX: rename TextUtils.eatWhiteSpaces to TextUtils.trimLeading
IMP: (10/3/10, FS) Electric shows used memory and total memory size in status bar
IMP: (10/3/10, DN) Electric tries to add plugins from maven repository on startup.
BUG: (9/30/10, DN) Electric-bundle hangs on machine without java3d.
FIX: Catch NoClassDefFoundError in MenuCommands.makeExtraMenu .
BUG: (9/29/10, SMR) Waveform window may be wrong (Bug #2912).
FIX: In BTreeSignal.BTreeRasterView constructor, adjust requested number of
samples if it is close to the actual number of samples.
IMP: (9/28/10, SMR) SVG now has preferences panel to specify scale and margin (Bug #2907).
IMP: (9/24/10, DN) Replace calls of plugins.Java3D by similar inline code.
BUG: (9/23/10, SMR) GDS import ignores pins with bad names (Bug #2910).
FIX: Now convert to valid export names.
************************* Version 9.00n: ******************************
IMP: (9/22/10, DN) Refactor PlaceingFrame to isolate from Electric internals .
BUG: (9/22/10, SMR) SVG coordinates are not integers (Bug #2909).
FIX: Adjust transformations to produce integer coordinates.
IMP: (9/20/10, DN) Move Orientation.java to electric.util.math .
IMP: (9/20/10, SMR) Added Spice and Verilog Model Files preferences
to use or force Verilog views (Bug #2908).
IMP: (9/19/10, DN) Class RoutingFrame.RoutingFramePrefs to control routing preferences.
IMP: (9/17/10, DN) Remove plugin with Russian manual because it is too old.
IMP: (9/16/10, SMR) Can now read multiple Nanosim files (Bug #1413).
IMP: (9/11/10, DN) Move getJarLocation from Launcher to Main.
IMP: (9/10/10, GVG) TechEditor and area rules (Bug #2903)
MinArea MinEnclosedArea supported now in the txt file.
Added minarea and minenclosedarea to 40nm tech for more testing.
BUG: (9/8/10, GVG) build.xml and Scala compilation (Bug #2906)
FIX: Forcing "ant -DNOSCALA" and modifications in build.xml to deal with no ScalaProjects dir.
BUG: (9/8/10, GVG) Can't run anymore (Bug #2905)
FIX: Made ElapseTimer serializable plus new GenMath/DBMath imports in tests code
IMP: (9/8/10, FS) Move GenMath and DBMath com.sun.electric.util.math
IMP: (9/8/10, FS) Refactoring: ElapseTimer, use ElapseTimer instead of single
timestamps. This refactoring removes the function: getElapsedTime, this is
solved inside the ElapsedTimer
BUG: (9/7/10, SMR) Cannot read simulation output that is broken into multiple
files (Bug #1413).
FIX: Fixed HSpiceOut.java to read multiple .tr files.
BUG: (9/3/10, FS) StackOverflowError in ERC regression (Parallel.ThreadPool.initialize) (Bug #2902)
FIX: Solve infinite-recursion + added test case for this bug
IMP: (9/2/10, FS) Refactoring of parallel infrastructure: no dependencies to other
electric packages
BUG: (8/30/10, SMR) Need to warn users who request too much memory (Bug #2900).
FIX: Improved the General Preferences dialog to advise on upper memory limits.
************************* Version 9.00m: ******************************
IMP: (8/28/10, DN) Add Scala LayoutMerger for area check.
BUG: (8/26/10, DN) No time reporting in MTDRC (Bug #2897)
FIX: Print time in MultiTaskJob. Use startJobOnMyResult in DRCToolTest.basicMTDRCTest.
IMP: (8/26/10, GVG) Working on "DRC slow for the tfc chip" (Bug #2851).
Using map instead of list to check cell interactions.
BUG: (8/24/10, SMR) Going down-hierarchy into arrayed schematic node
doesn't show the path in the status bar (Bug #2890).
FIX: Fixed EditWindow.showCoordinates().
BUG: (8/23/10, DN) Natural sort order of NodeInst is not consistent with order of NodeInsts in a Cell.
FIX: Use TextUtil.STRING_NUMBER_ORDER in NodeInst.compareTo .
IMP: (8/23/10, DN) Methods Cell.addNodes with ImmutableNodeInst args.
BUG: (8/23/10, SMR) Array command gives preference access errors (Bug #2894).
FIX: Made pref class for the creation job.
IMP: (8/23/10, SMR) Improved "Manipulate Exports" dialog to change many
characteristics at once (Bug #2895).
IMP: (8/23/10, GVG) Working on "DRC slow for the tfc chip" (Bug #2851).
Work done with worstInteractionDistance values.
IMP: (8/21/10, GVG) Working on "DRC slow for the tfc chip" (Bug #2851).
More ways to reduce getShapeOfNode() for only layers with rules.
BUG: (8/20/10, GVG) MDT DRC not properly skipping layers without rules (Bug #2991)
FIX: Applying rules filter during task creation
IMP: (8/20/10, DN) ErrorLogger knows about ImmutableNodeInsts.
BUG: (8/20/10, DN) Round-off errors in NodeInst.setTrace (Bug #2893)
FIX: Use EPoints in NodeInst.setTrace.
IMP: (8/19/10, GVG) Remove class MOSRules (Bug #2880)
BUG: (8/18/10, GVG) NPE in Multi-threaded DRC (Bug #2889)
FIX: Detecting properly non-layout layers (Universal from generic in the particular design)
BUG: (8/18/10, SMR) Rotated nodes do not interactively resize correctly (Bug #2887).
FIX: Fixed in SizeListener.getNewNodeSize().
IMP: (8/18/10, FS) Bookshelf Weights + Bookshelf Header per file (export) +
Speedup export (net list)
BUG: (8/18/10, FS) Simulated Annealing 2 eats up memory without running the tool (Bug #2886)
FIX: create all arrays at execution time of the placement tool (while calling runPlacement)
IMP: (8/18/10, FS) Add immutable list
IMP: (8/18/10, FS) Test of private methods: Annotation TestByReflection
IMP: (8/17/10, GVG) More changes for "DRC slow for the tfc chip" (Bug #2851).
Looking for way to reduce getShapeOfNode() for only layers with rules.
BUG: (8/16/10, DN) Node bounds is incorrect (Bug #2884).
FIX: Let busPinNode always has NodeLayers.
BUG: (8/12/10, SMR) SUE import fails (Bug #2883).
FIX: Fixed importing to make parameters have inheritance and to autostitch when done.
************************* Version 9.00l: ******************************
IMP: (8/11/10, GVG) Improve error message in Verilog when encountering signature problem (Bug #2882)
BUG: (8/11/10, GVG) NPE in Verilog parser (Bug #2881)
FIX: Added handling of nested loops in always statement.
IMP: (8/9/10, GVG) Working on "DRC slow for the tfc chip" (Bug #2851).
Reducing # of calls of Technology.getRuleIndex.
IMP: (8/6/10, DN) Cell.getUniqueNameIndex considers both prefix and suffix.
IMP: (8/6/10, DN) Methods Cell.addExport and Cell.addExports with ImmutableExport args.
BUG: (8/5/10, SMR) Cannot outline-edit hard-to-select nodes (Bug #2875).
FIX: In OutlineListener.mousePressed() set "findSpecial" to true.
IMP: (8/5/10, SMR) Can now use Node Properties to resize a node with outline data (Bug #2873).
BUG: (8/5/10, SMR) Textual HSpice import fails (Bug #2874).
FIX: Fixed reader to handle Text and Binary.
IMP: (8/5/10, FS) Bookshelf exporter
IMP: (8/4/10, JKG) fix bug 2154: update the preferred contact list more often.
Might be too often because tech palette calls the contact prefs code a LOT, but now at least it works.
************************* Version 9.00k: ******************************
BUG: (8/3/10, SMR) Node extraction creates DRC errors (Bug #2829).
FIX: In Connectivity.doExtract() detect off-grid better.
In RouteElementArc.newArc() avoid using universal arcs
IMP: (8/2/10, SMR) Gerber import alternates layer assignment (Bug #2860).
BUG: (7/30/10, JKG) Hitting escape to cancel a wiring tool action does not reset the highlights (Bug #2842)
FIX: This case was missing in the code for 'escapePressed' in ClickZoomWireListener
IMP: (7/29/10 GVG) Removing deprecated functions in experimental 2 and 3,
new routers codes, Bug #2803
BUG: (7/29/10, DN) NPE in WaveformTests using the GUI (Bug #2868).
I guess that WindowFrame.finished() should be called from SwingThread only.
Assertion is added to WindowFrame.finished() .
BUG: (7/29/10, SMR) Vertical waveform axis zero value drawn badly (Bug #2870).
FIX: Fixed in Panel.drawPanelContents().
IMP: (7/29/10, SMR) Added import regressions (Bug #2869).
BUG: (7/29/10, FS) Add "terminal-flag" to electric (Bug #2867)
FIX: Set terminal cells as locked (bookshelf) + propagate terminals to placement nodes
But: no placement tool uses this information at the moment
IMP: (7/29/10, DN) Skip non-manhattan layers in MANHATTAN mode of DRC area check.
BUG: (7/28/10, FS) ArrayIndexOutOfBoundsException in matrix example
FIX: Data Race on bottom index pointer in UnboundedDEQueue
IMP: (7/27/10, GVG) DRC Warnings reported more than once (Bug #2865)
IMP: (7/17/10, GVG) Getting Waveform script working in regression (Bug #2864)
IMP: (7/26/10, SMR) Added initial waveform window regression tests (Bug #2861).
BUG: (7/26/10, GVG) Exception in Gerber reader because it tries to read wrong file? (Bug #2859)
FIX: Extra filtering of files. Fixed unnecessary concatenation of file path. Removed topDirName
from gerber files.
BUG: (7/26/10, FS) MultipleQueues + FCQueue not possible
FIX: PJob didn't use all queues for work distribution
BUG: (7/24/10, FS) German umlauts cause compiler warnings
FIX: Use international translation of German umlauts
BUG: (7/24/10, FS) Interrupt Exception in LockFreeStack (Bug #2856)
FIX: Fixed. Save return from backoff algorithm instead of exception.
IMP: (7/22/10, GVG) DRC regression failing due to memory problem in sport test (Bug #2854)
Just disconnecting sport/area/local test since it takes too much time to run.
IMP: (7/22/10, SMR) Added 6 experimental routers from Karlsruhe (Bug #2803).
************************* Version 9.00j: ******************************
IMP: (7/21/10, FS) Add Scheduler Factory to Parallel Infrastructure
IMP: (7/20/10, FS) Add FCQueue proposed by Nir Shavit and integrated the queue
as a scheduler for the parallel infrastructure.
IMP: (7/20/10, FS) Parallel metrics: bounding box - minimum spanning tree (MST)
BUG: (7/20/10, SMR) Canceling undo warning dialog with ESC fails (Bug #2852).
FIX: Fixed ToolBar.undoCommand.run().
IMP: (7/19/10, FS) Add progress bar to bookshelf import
BUG: (7/19/10, DN) Database regression fails due to UnsupportedOperationException in testMakeGridPoly.
FOX: In ImmutableArcInstTest.MyBuilder implement addIntBox.
IMP: (7/18/10, DN) The last argument of basicDRCTest specifies min area check algorithm.
IMP: (7/18/10, DN) Add min area check algorithm DRCCheckMinArea.AREA_MANHATTAN .
It is fast, but accepts only manhattan layout.
IMP: (7/17/10, DN) Laze allocation of "sons" in PolyBase.PolyBaseTree ..
IMP: (7/16/10, DN) Fast path for grid-aligned boxes in AbstractShapeBuilder.pushPoly .
IMP: (7/15/10, SMR) Added Spice Opus output format (Bug #2848).
BUG: (7/15/10, SMR) Going up hierarchy can duplicate selection (Bug #2843).
FIX: In WindowFrame.setCellByHistory() and in EditWindow.upHierarchy()
clear selection before setting new ones.
BUG: (7/14/10, SMR) Restore waveform window functionality (Bug #2846).
FIX: Redid many things.
BUG: (7/13/10 GVG) KeyBehavior not picking arrow keys (Bug #2845)
FIX: Added KeyEvent.KEY_RELEASED as valid ID to accept the event.
BUG: (7/12/10, SMR) Nanosim/Epic waveform reader crashes on bad file (Bug #2816).
FIX: Rewrote Epic reader to use new waveform model.
BUG: (7/11/10, SMR) Changing component choice in component menu should
change all component menus (Bug #2154).
Fixed in TechPalette.PlacePopupListListener.actionPerformed().
BUG: (7/8/10, SMR) LTSpice (raw format) doesn't display properly (Bug #2841).
FIX: Fixed RawSpiceOut.java to use new sweeps.
BUG: (7/8/10, SMR) Waveform window doesn't handle HSpice sweeps (Bug #2782).
FIX: Added SweptSample class, added getSweepNames() to Signal.
BUG: (7/5/10, SMR) Waveform window draws AC stimuli wrong (Bug #2839).
FIX: Changed ComplexSample constructor to compute hypot() instead of atan2().
BUG: (7/2/10, GVG) Placement regression failed due to change in test library? (Bug #2837)
FIX: Rolled placementTests.jelib to original revision (r1576). Revision r1720 was
modified inadvertent and gives different results.
BUG: (7/2/10, SMR) Explorer-tree context command to create a new cell should
choose the proper library as the default (Bug #2836).
FIX: Improved NewCell to take a default library.
BUG: (7/1/10, SMR) AC waveform signals crash (Bug #2835).
FIX: Added Adam's patch.
BUG (7/1/10, FS) Placement regression failed due to missing static method
FIX: add static method from history
BUG: (7/1/10, SMR) Interactive sizing misaligning coordinates (Bug #2827).
FIX: Fixed SizeListener to avoid transforming into the space of the node.
BUG (6/30/10, FS) Force-Directed-1 do not terminate (Bug 2800)
FIX: Kill barrier after termination
BUG (6/30/10, FS) Add Placement Tools to Test Menu (Bug 2831)
FIX: Added, each tool has the following parameters (#threads 2, runtime 10sec)
BUG: (6/30/10, GVG) Test -> TestPlacement -> Placement1 doesn't work (Bug #2832)
FIX: Don't assume libraries have *.jelib extension. Fixed regression as well.
BUG: (6/30/10, DN) I am not able to perform error-free Node Extraction (Bug #2829).
FIX: I replaced tech.getFactoryScaledResolution() by tech.getFactoryResolution().
This fix allows to recognize transistors by there are still some DRC errors.
This fix doen't solve the entire issue but I hope that this fix is reasonable.
IMP: (6/29/10, AM) Remove Signal.isAnalog(), Signal.isBussed()
IMP: (6/29/10, AM) Remove Signal.isDigital()
BUG: (6/29/10, DN) Inconsistency in how export names are compared using canonicString (Bug #2826).
FIX: ElectricObject.uniqueObjectName maintains case-sensitive uniqueness.
IMP: (6/28/10, AM) Eradicate Analysis.java for all eternity.
IMP: (6/28/10, AM) Remove Analysis.getSignals()
IMP: (6/28/10, AM) Remove calls to Analysis' constructor
IMP: (6/28/10, AM) Analysis extends HashMap<String,Signal>
IMP: (6/28/10, AM) Remove Analysis.getSignalsFromExtractedNet()
IMP: (6/28/10, AM) Remove Analysis.findSignalForNetwork()
IMP: (6/28/10, AM) Remove Analysis.getTitle()
IMP: (6/28/10, AM) Remove Analysis.findSignalForNetworkQuickly()
IMP: (6/28/10, AM) Remove Analysis.get{Min,Max}.
IMP: (6/28/10, AM) Remove Analysis.AnalysisType.
IMP: (6/28/10, AM) Remove Analysis.getStimuli().
IMP: (6/28/10, AM) Clean up Analysis.java.
IMP: (6/28/10, AM) Expunge DigitalAnalysis.
IMP: (6/28/10, AM) Remove legacy "bussing" kludge.
IMP: (6/28/10, AM) Have VerilogOut use BusSample.
IMP: (6/28/10, AM) Finish implementing BusSample.createSignal().
************************* Version 9.00i: ******************************
BUG: (6/27/10, AM) Waveform window exception at different places (Bug #2824)
FIX: Deal in a more robust manner with Signals having fewer than two samples.
Also improve error reporting if things go wrong.
IMP: (6/26/10, GVG) highlight the outline of the geometry in ERC errors (Bug #2752)
BUG: (6/25/10, AM) Waveform window pans wildly when Command-5 is pressed
FIX: Make WaveformWindow.centerCursor() center on the "main" cursor instead
of the mouse.
BUG: (6/25/10, AM) Extrapolation is not being done when zoomed-in (Bug #2822)
FIX: Make BTreeSignal.RasterView far more clever than before.
BUG: (6/25/10, AM) IRSIM no longer crossprobes as main cursor is dragged (Bug #2807)
FIX: Remove the line that Steve said to comment out.
BUG: (6/24/10, GVG) NPE in ERC if cell to ERC is null (Bug #2821)
FIX: Detect the case and abort the test.
BUG: (6/24/10, AM) interior of waveform appears shaded (Bug #2809)
FIX: Fix incorrect branch test in BTree range query code.
BUG: (6/23/10, GVG) Missing text while editing text in MacOSX Snow Leopard (Bug #2819)
FIX: Add extra width and height depending on the font size rather than fixed numbers (5).
IMP: (6/23/10, FS) Split task parallel runtime classes and pipeline classes
BUG: (6/23/10, SMR) Further improvements needed for LEF output (Bug #2764).
FIX: Added extra LEF statements to output.
BUG: (6/23/10, SMR) Verilog bus display is slow (Bug #2814).
FIX: Optimized triple-nested loop in Panel.processSignals().
BUG: (6/22/10, FS) Using the new version of ERC well check (bucket, path check) (Bug #2804)
FIX: moved old ERC Version to ERCWellCheckOld
BUG: (6/21/10, AM) PSpice not reading (Bug #2812)
FIX: Assign "*.txt" to PSpiceOut.
BUG: (6/21/10, AM) I want to favor HSpice so that it reads the TR0/PA0
file, even in the presence of the other files (Bug #2815)
FIX: Change priority order in SimulationData.java.
IMP: (6/21/10, FS) First fully draft of SeaOfGates router with new parallel
infrastructure. Added a two way thread pool: Use this thread pool if you
want to do two different jobs in parallel, where one job starts the other
one.
BUG: (6/21/10, AM) LTSpice import throws an exception
FIX: Be more forgiving of bogus data supplied to ScalarSample.createSignal()
BUG: (6/21/10, AM) RawSpiceOut reader complains "Unknown analysis:
constants" (Bug #2811)
FIX: Kludge around ridiculous text/binary nonsense in Input.java.
BUG: (6/21/10, AM) ALS simulation throws an exception (Bug #2806)
FIX: Get ALS.java to call the right method.
BUG: (6/19/10, AM) HSimModel force/release doesn't do error checking
(Bug #2574)
FIX: Add error checking in HsimModel.java.
BUG: (6/19/10, AM) Electric throws UnsupportedOperationException when
run with EmptyPreferencesFactory.
FIX: Do not attempt to set the working directory when running in batch
mode.
IMP: (6/19/10, AM) Finish support for ComplexSample.
BUG: (6/18/10, SMR) Control-key axis-gridding of placed instances fails
if mouse moving at release (Bug #2437).
FIX: Do gridding on button release.
IMP: (6/19/10, SMR) Added debugging for Karlsruhe parallel routers (Bug #2803).
BUG: (6/18/10, SMR) Layer visibility tab crashes (Bug #2802).
FIX: In LayerTab.setInvisibleLayerConfiguration() handle unset technologies.
IMP: (6/18/10, FS) Experimental version of SeaOfGatesRouter: New parallel
infrastructure
************************* Version 9.00h: ******************************
IMP: (6/18/10, FS) Refactored SeaOfGatesRouter to have the possibility to
create different versions
BUG: (6/18/10, FS) Use 1 evaluation step per minimum in placement tool
Genetic-2 (Bug #2801)
BUG: (6/16/10, AM) Simulation input file reads correctly but looks
wrong (Bug #2796)
FIX: Correct the erroneous indexing in HSpiceOut.java.
BUG: (6/16/10, AM) The waveform window comes up with no signals but it
should have remembered the last ones (Bug #2798).
FIX: Fix long-standing confusion between
WaveformWindow.showSimulationData() by splitting it into
showSimulationDataInNewWindow() and refreshSimulationData().
IMP: (6/17/10, SMR) When placing an instance of a cell, holding Control
forces the instance to be on one of the axes (Bug #2437).
BUG: (6/17/10, SMR) Cannot select ports on layout resistors (Bug #2761).
FIX: Generalized code in Highlighter.distToNode() to handle all layout resistors.
BUG: (6/17/10, SMR) Interactive resizing grid-aligns too much when dragging an edge (Bug #2795).
FIX: In SizeListener.getNewNodeSize() set grid direction if edge-dragging.
IMP: (6/16/10, AM) Add BusSample.
IMP: (6/16/10, AM) Add LatticeOperation (lub/glb), and use that
instead of min/max to compute summaries. Complex numbers and
vectors (aka "buses") don't have a meaningful comparison
operation, but they do have lubs and glbs.
IMP: (6/16/10, AM) Add Sample.lub() and Sample.glb().
IMP: (6/16/10, FS) Modeling the load balancing of work stealing as a uniform distribution.
(only debug mode)
BUG: (6/16/10, FS) Use a 1x1 grid as smallest in placement tool: Genetic-2 (Bug #2797)
IMP: (6/15/10, AM) Remove AnalogAnalysis.
BUG: (6/15/10, AM) Waveform window signals no longer saved correctly (Bug #2777)
FIX: Ignore analysis stored in preferences.
IMP: (6/15/10, AM) Remove AnalogSignal (use Signal<ScalarSample>).
BUG: (6/15/10, AM) Various complex-valued simulation data were being
plotted incorrectly.
FIX: Remember to do a Math.hypot() to compute the amplitude.
IMP: (6/15/10, FS) Adding steal tracker debug to concurrent package
Add additional test cases for parallel infrastructure
IMP: (6/14/10, FS) Adding common metrics for all placement tools and calculate
Bounding Box and Minimum Steiner Tree after a placement (only debug mode)
BUG: (6/14/10, AM) Reading HSpice output throws an exception (Bug #2793)
FIX: Remember to update parent pointers after splitting a node.
BUG: (6/14/10, AM) HSpice reader attempts to re-insert value that
already exists (Bug #2749)
FIX: Check to see if the value has already been inserted.
IMP: (6/12/10, AM) Add and document "Display / Display Control / Dock
messages window to each edit window" preference (off by default).
IMP: (6/12/10, AM) Allow more than one instance of MessagesWindow.
BUG: (6/11/10, GVG) UnsupportedOperationException while running Placement regression (Bug #2792)
FIX: Don't call param.makeTempSettingReal if running in regression mode.
IMP: (6/11/2010, FS) Add sleep and wake up to thread pool
IMP: (6/10/10, AM) Can now view an analog signal as if it were
digital via thresholding (Bug #2789).
BUG: (6/10/10, AM) Analog waveforms missing icons in upper right (Bug #2784)
FIX: in Stimuli.isAnalog()
BUG: (6/10/10, AM) Title of Waveform window is strange (Bug #2783)
FIX: in WaveformWindow.setTitle().
IMP: (6/10/10, AM) RadixTrie improvements (incomplete).
IMP: (6/10/10, AM) Stub for PageStorageWithDeallocation.
IMP: (6/10/10, AM) Fix CachingPageStorage.fsync(int), remove
PageStorage.fsync()
IMP: (6/10/10, AM) Replace Infrastructure.waitSeconds() with Thread.sleep()
IMP: (6/10/10, SMR) The Measurement display should have be able to show
in a user-specified color instead of using the highlight color (Bug #2787).
FIX: Added User.ColorPrefType.MEASUREMENT.
IMP: (6/9/10, FS) Extend CollectionFactory (ConcurrentHashSet, copySet methods)
add debug possibilities to thread pool (load balancing)
BUG: (6/9/10, SMR) EDIF import places instance parameters too far apart (Bug #2786).
FIX: Fixed Cell.newVarOffset() to place parameters closer together.
IMP: (6/9/10, FS) Add possibility to reset ThreadIDs
IMP: (6/9/10, FS) Add test infrastructure for parallel placement tests
IMP: (6/8/10, FS) Experimental work stealing for parallel infrastructure
IMP: (6/7/10, GVG) Measurement tool and new style (Bug #2785)
Added preference to use Cadence style. Old style by default.
IMP: (6/7/10, SMR) Layer Visibility Configurations are now handled in a
per-technology fashion (Bug #2758).
IMP: (6/7/10, FS) Add parameter to force directed placement 2
IMP: (6/7/10, FS) New concurrent data structures, circular array, unbounded
double ended queue
IMP: (6/3/10, DN) Remove Technology.State class to simplify things.
This class is not necessary because Technologies are immutable now.
************************* Version 9.00g: ******************************
BUG (6/3/10, FS) Bug #2770 fixed through the new bucket work distribution
IMP: (6/3/10, SMR) Added code to ignore hidden node names and reset them
in the Properties dialog (Bug #2768).
IMP: (6/3/10, FS) Add a new work distribution to ERCWellCheck2
- add a 2-dimensional view of threads
- overlay the current cell with an 2-dimensional grid
- each thread works on one cell of the grid (bucket)
IMP: (6/3/10, DN) The nameDescriptor of NodeInst can be non-displayable (Bug #2768).
BUG: (6/3/10, AM) Verilog simulation not working (Bug #2780)
FIX: Assign ".dump" extension to VerilogOut per Steve's request.
BUG: (6/2/10, AM) Adding panel to waveform window takes a long time (Bug #2776)
FIX: cache bounds in Analysis.java.
BUG: (6/2/10, AM) LT Spice stimuli not reading properly (Bug #2778)
FIX: Be more permissive in parsing the analysis type.
IMP: (6/2/10, AM) Merge LTSpiceOut with RawSpiceOut.
BUG: (6/2/10, AM) Verilog simulation not working (Bug #2780)
FIX: Fix DigitalSample.fromOldStyle().
BUG: (6/2/10, AM) IRSIM is still broken (Bug #2679)
FIX: Check for reinsertions in Analyzer.java
BUG: (6/2/10, AM) SmartSpice not reading (Bug #2779)
FIX: ".txt" is now handled by SmartSpiceOut
BUG: (6/2/10, GVG) NPE while importing Cadence errors with no current cell (Bug #2781)
FIX: Detecting the case when no current cell is selected.
BUG: (6/2/10, AM) Crash reading simulation data (Bug #2775)
FIX: Check for reinsertions in HSpiceOut.java.
BUG: (6/2/10, AM) The command "Plot Spice for This Cell" no longer
works (Bug #2773)
FIX: Total overhaul of Simulate.java.
BUG: (6/1/10, AM) ALS needs Signal to be able to alter samples after
they have been added (Bug #2679)
FIX: Add BTree.remove() and BTree.replace().
IMP: (6/1/10, AM) Implement BTree.remove().
IMP: (5/29/10, AM) Finish implementing hierarchical "undriven nets
check". Marina full-chip schematics pass except for
arrays-of-cell-instances, which the netlister does not seem to
handle properly.
IMP: (5/29/10, AM) Network.java: when determining connectivity, check
all wires of a bus instead of only using the 0th wire.
IMP: (5/28/10, AM) Use EquivalenceClasses in undriven nodes check.
IMP: (5/28/10, AM) Add EquivalenceClasses.
IMP: (5/28/10, AM) Add ability to open simulation data from command line.
BUG: (5/28/10, GVG) NPE in cross library copy (Bug #2771)
FIX: Detecting when cell doesn't longer have a group.
IMP: (/5/28/10, GVG) Unknown tag in Client.read() (Bug #2759)
Added extra code to detect the source of the unknown tag
IMP: (5/27/10, SMR) New EDIF Preference to hide arc or node names (Bug #2768).
IMP: (5/27/10, DN) The nameDescriptor of ArcInst can be non-displayable (Bug #2768).
IMP: (5/25/10, DN) Technologies are immutable - new Technology objects are used if technology parameters are changed.
Can be disabled by Tecyhnology.IMMUTABLE_TECHS = false;
IMP: (5/25/10, SMR) New "Display Control" Preference to keep modeless
dialogs on top (Bug #2767).
IMP: (5/24/10, FS)
- Use new parallel infrastructure in ERC Well Check Tool
- Refactoring of ERC Well Check Tool
BUG: (5/24/10, SMR) Merging LEF and GDS fails (Bug #2765).
FIX: Do not copy node names when creating exports.
BUG: (5/24/10, SMR) LEF input gives errors on some ANTENNA keywords (Bug #2764).
FIX: Added these keywords to the ignore list.
BUG: (5/24/10, SMR) Multi-object Properties crashes when in resize mode (Bug #2763).
FIX: Fixed Highlight.HighlightSorting class to handle null returns from "getInfo()".
IMP: (5/24/10, SMR) New "Display Control" preference "Shift window to show errors"
moves the window to focus on errors if they are not visible (Bug #2755).
BUG: (5/24/10, SMR) Greeked cells are not re-evaluated when layer visibility changes (Bug #2756).
FIX: Fixed VectorCache and VectorDrawing to recompute the cell color.
IMP: (5/24/10, FS) First refactoring (improved interface)
features: parallel (for|reduce), and simple task scheduler for own algorithms
IMP: (5/21/10, FS) First commit of a parallel infrastructure,
com.sun.electric.tool.util.concurrent
************************* Version 9.00f: ******************************
BUG: (5/21/10, GVG) False DRC errors on tsmcsun40gp poly resistors (Bug #2762)
FIX: Special case to detect network associated to poly in resistors.
IMP: (5/20/10, SMR) Initial version of Gerber reader. Has Preferences panel for
some controls (Bug #2749).
IMP: (5/20/10, GVG) Error window in TechEditorWizard in case of loading Parameters file that
doesn't comply with format.
BUG: (5/18/10, SMR) Small arcs of a circle may draw as full circles (Bug #2757).
FIX: Fixed PixelDrawing.drawCircleArc().
BUG: (5/18/10, GVG) Some layers have wrong default visibility settings for layer visibility groups (Bug #2753)
FIX: Make sure top layers are defined as overglass in the 40nm tech
BUG: (5/18/10, FS) Bug #2746
IMP: - Cache networks and transistors for faster progress
- handle more then two transistors per network
BUG: (5/17/10, GVG) Still null messages in jobs (Bug #2747)
FIX: Pref values cached before ExternalScript is executed.
IMP: (5/17/10, DN) Minor changes in Added Design Summary code from GNU user (Bug #2734).
- Skip icon of parent and Generic primitives
- Better diagnostics when library has no fileURL
- Clean comments
BUG: (5/12/10, FS) Bug #2746
FIX: Fixed for small chips
BUG: (5/7/10, SMR) Schematic DRC missing wire and bus arcs connected
at a bus pin but not really connected (Bug #2748).
FIX: Now reported.
BUG: (5/7/10, SMR) Schematic DRC missing arcs touching if they are labeled (Bug #2748).
FIX: Also check for unconnected pins laying on an arc.
IMP: (5/5/10, AM) Add skeleton of RadixTrie (a Map<String,V> that
maintains the statistics needed to detect the hierarchy
separator).
IMP: (5/5/10, AM) Finally remove Signal.getAnalysis() for good.
BUG: (5/4/10, SMR) AutoStitch gives errors and fails to correctly route bus ports (Bug #2745).
FIX: AutoStitch.testPoly() handles busses separately when deciding whether or not ports
are already connected. It also has a bug in which it fails to report that it has made
a connection. Other fixes in InteractiveRouter.planRoute(), RouteElementArc.doAction().
BUG: (5/3/10, GVG) Autostitch creating 2 arcs when connecting bus ports in icons (Bug #2745)
FIX: Calling getNetwork(Nodable no, Name portName) in case of busses (temp fix)
IMP: (5/01/10, AM) Merge EpicOutProcess and EpicAnalysis into
EpicOut.java (which follows the same naming convention as the
rest of the simulation output readers).
IMP: (5/01/10, AM) Add Signal.extrapolateToRight to avoid more calls
to Signal.getAnalysis().
IMP: (5/01/10, AM) Turn WaveformWindow.treePathFromAnalysis into
treePathFromSignal.
IMP: (5/01/10, AM) Add Signal.getAnalysisType(), first step towards
removing Signal.getAnalysis().
IMP: (4/30/10, JKG) Removed spacebar toggle of fat wiring mode, as it is now a preference.
Substrate and well contacts included in as contacts for finding width of connecting wire.
Ignore default-sized arcs when choosing arc size to connect to portinst,
as RouteElementArc.doAction creates default-sized arcs for 0 length connections.
************************* Version 9.00e: ******************************
BUG: (4/30/10, SMR) Interactive resizing of multiple nodes doesn't work right
when they are different size (Bug #2732).
FIX: Fixed SizeListener.getNewNodeSize().
IMP: (4/29/10, SMR) Component menu now remembers the which variation of a node
is selected (Bug #2741).
BUG: (4/28/10, SMR) Constraint system does not keep alignment between nodes
and moves too much (Bug #2736).
FIX: In LayoutCell.java, scale sizes more uniformly (in transformByPort())
and allow nodes to stay where they are if slidability can be done.
BUG: (4/28/10, SMR) Autostitch makes arcs that are not grid-aligned (Bug #2740).
FIX: Added alignment to AutoStitch.AutoStitchJob constructor and fixed bug
in InteractiveRouter.getClosestValue().
IMP: (4/27/10, AM) Remove MultiSweepSignal.
IMP: (4/27/10, AM) Remove AnalogSignal.getIndexInAnalysis()
BUG: (4/27/10, SMR) Bean Shell cannot access currently highlighted objects (Bug #2739).
FIX: Added EvalJavaBsh.getHighlighted() to access the list from inside a Job.
IMP: (4/26/10, AM) Waveform viewer Panel no longer has a hardwired
analysis type (will accept a Signal from any Analysis).
IMP: (4/26/10, JKG) Set netlist nonstandard cells to false for runSTA command.
Changed verilogA option to false for STA runs when writing verilog netlist.
BUG: (4/26/10, GVG) Exception when running STA (Bug #2738)
FIX: Caching Verilog Preference in RunPrimeTime constructor now.
BUG: (4/26/10, SMR) PostScript output does not handle background color (Bug #2735).
FIX: In color mode, fill background color.
BUG: (4/25/10, AM) Spice input crashes (Bug #2727)
FIX: Remove special-purpose code in HSpiceOut, use general-purpose code
from BTreeSignal.
IMP: (4/25/10, AM) AnalogSignal extends ScalarSignal.
IMP: (4/25/10, AM) Allow null keys in getSummaryFromKeys() when one or
both ends of a range are unbounded.
IMP: (4/19/10, SMR) Added Design Summary code contributed by GNU users.
The command is "Cell|Cell Info|Summarize Cell Contents" (Bug #2734).
BUG: (4/22/10, SMR) The ruler should have tick marks (Bug #2718).
FIX: Redid MeasureListener to draw Cadence-like rulers.
BUG: (4/22/10, SMR) Text Properties dialog changes non-centered text on rotated nodes (Bug #2733).
FIX: Ignore code in PolyBase.unRotateType() which is not needed since Bug #2635.
BUG: (4/21/10, GVG) Adding pure layer nodes in palette and those warning messages (Bug #2726)
FIX: Added PrimitiveNode instances into tech.pureLayerNodes while parsing XML tech.
IMP: (4/21/10, JKG) Added menu commands to wire up and down one layer in layout
IMP: (4/21/10, SMR) Can now resize multiple nodes and multiple arcs (Bug #2732).
BUG: (4/21/10, DN) Netbeans build doesn't create manifest.
FIX: Add "trunk/srcj/manifest.mf" template.
IMP: (4/20/10, GVG) TechEditorWizard: better handling of asymmetric rules (Bug #2656)
Added WizardRuleFields class which should be the first step for this request.
IMP: (4/19/10, DN) More strict checking in ImmutableArcInst.newInstance and ImmutableArcInst.withAngle.
BUG: (4/19/10, SMR) Undefined arc angles still cause trouble (Bug #2729).
FIX: Added new ArcInst and ImmutableArcInst methods: getDefinedAngle() which
returns 0 instead of -1.
IMP: (4/19/10, DN) Experimental "Cell|Cell info|Design Summary" command (only in -debug mode).
BUG: (4/19/10, DN) Placement of pure layer nodes inconsistent with highlighter (Bug #2730)
FIX: Set correct center in "Fix highlighting when place asymmetrical primitive" on 4/13/10
BUG: (4/19/10, DN) Slidable arc should slide along contact array (Bug #2728).
FIX: In CircuitChangeJob.ManyMove.doIt don't move a node on head/tail of slidable arc if it is still in port.
BUG: (4/16/10, GVG) Placement of pure layer nodes inconsistent with highlighter (Bug #2730)
FIX: Undone ""Fix highlighting when place asymmetrical primitive" on 4/13/10
************************* Version 9.00d: ******************************
BUG: (4/16/10, GVG) F-P-Well contact size broken in tsmcSun40GP (Bug #2725)
FIX: SizeOffset for p well contact in a substrate process corrected.
BUG: (4/16/10, DN) Slidable arc should slide along contact array (Bug #2728).
FIX: In CircuitCHangeJob.ManyMove.doIt don't move a node on head/tail if it is still in port.
IMP: (4/15/10, SMR) First pass of generalized routing framework (Bug #2724).
BUG: (4/15/10, GVG) DRC error when diff contact serves two transistors of diff sizes (Bug #2683)
FIX: Undoing "Better solution for "false drc error between pdiff contacts in
tsmcsun40GP (Bug #2311)" for now.
BUG: (4/14/10, GVG) NPE in TechPool.getThreadTechPool (all regressions) (Bug #2723)
FIX: Removed Technology.findTechnology in TechFactory.newInstance. Added extra
code to detect if a new soft tech has same name as a pre-defined one.
BUG: (4/14/10, SMR) Multiple technologies with the same name are not detected (Bug #2714).
FIX: Detect this both for built-in and added technologies.
BUG: (4/13/10, SMR) Verilog reader throws exceptions on bad Verilog (Bug #2722).
FIX: Catch errors more gracefully.
IMP: (4/12/10, JKG) Added Verilog option to write non-standard cells when writing standard cell netlist.
BUG: (4/7/10, DN) The ruler text is too small (Bug #2718).
FIX: In EditWindow.paintComponent select font for rule text with size 15*globalTextScale instead of 10*globalTextScale.
IMP: (4/8/10, GVG) Added more error messages to detect failures in gateLayGen regression (Bug #2717)
BUG: (4/8/10, GVG/SMR) New zero-length def of arcs and non-Manhattan shapes (Bug #2720)
FIX: ImmutableArcInst:isManhattan() and ImmutableArcInst:makeGridBoxInt handle angle=-1
(introduced by Bug #2713)
BUG: (4/7/10, SMR) The "Measure" cursor is ambiguous (which side is the pointer?)
and the measurement mode should snap the mouse when moving around (Bug #2718).
Measurement snapping-control is now in the "Grid" Preferences.
FIX: The cursor now looks like a tape measure; the mouse snaps.
IMP: (4/6/10, SMR) Zero-length arcs can stretch in either direction (Bug #2713).
BUG: (4/6/10, SMR) Invisible Pins under Annotation Text should be selectable (Bug #2712).
FIX: These pins are now "hard to select" and can be selected when in that mode.
BUG: (4/5/10, SMR) Option reconciliation truncates fields (Bug #2709).
FIX: Put full string into tooltip.
************************* Version 9.00c: ******************************
IMP: (4/2/10, GVG) Two new layers in 40nm
BUG: (4/2/10, DN) Changes in MoCMOS parameters don't immediately redisplay (Bug #2708).
FIX: In PreferencesFrame.OKUpdate.terminateOK call cloaseDialog(null).
BUG: (4/1/10, SMR) Exiting technology editor destroys its own colormap (Bug #2706).
FIX: Detect technology-editor color maps in PixelDrawing.
IMP: (3/31/10, SMR) Added VerilogA output with "Tools / Simulation (Verilog) /
Write VerilogA Deck..." command (Bug #2705).
BUG: (3/31/10, SMR) Parasitic preferences has User and Project preferences swapped (Bug #2701).
FIX: Swapped the panels.
BUG: (3/31/10, DN) Key Q doesn't work properly in Cadence color scheme (Bug #2704).
FIX: Replace short cut for "Cycle Windows" in Cadence color scheme from 'Q' to 'W'.
BUG: (3/29/10, DN) Array indices are not being generated correctly (Bug #2703).
FIX: In Array.makeArray compare objects by STRING_NUMBER_ORDER.
IMP: (3/29/10, GVG) Amending tsmcSun40GP.xml and TechEditorWizard regression due to Bug #2702 changes.
BUG: (3/29/10, DN) Technology Wizard doesn't write gate_contact_spacing to DRC deck (Bug #2702).
FIX: In TechEditWizardData.addStandardElements write spacing rules.
BUG: (3/28/10, DN) Fill generation failed.
FIX: In CapCell use parameters for Well contact (not diffusion contact).
IMP: (3/25/10, DN) Select layers in generator.layout.TechType by function (Bug #2696).
Almost all values in TechType subclasses are initialized from technology and DRC deck .
BUG: ConcurrentModificationException in gate generator (Bug #2700).
FIX: In NetSchem.updateSchematic fix a test that old Netlist is still valid.
BUG: Layer order not restored with preferences (Bug #2699).
FIX: In UserInterfaceAll.loadPreferences call LayerVisibility.loadPreferences.
IMP: (3/35/10, GVG) Compaction regression and changes in API (Bug #2633)
BUG: (3/25/10, GVG) NPE if layer is not found by name (Bug #2698)
FIX: Just detect the case and allow to catch any exception in writeXML()
BUG: (3/25/10, DN) Technology Wizard crashes in GDS panel after adding metal layer (Bug #2697).
FIX: In TechEditWizardData.setNumMetalLayers initialize "metal_layers" correctly.
IMP: (3/25/10, DN) Add command "Tool|Generation|Generate gate layouts (C_urrent tech)" (Bug #2696).
IMP: (3/25/10, DN) Remove TechTy.eTechTypeNum (Bug #2696).
IMP: (3/24/10, DN) gateLayRegression compares output and original JELIBs (Bug #2696).
BUG: (3/23/10, GVG) TechEditWizard not cleaning previous values uploaded from a txt file (Bug #2695)
FIX: Clearing the variables before the reading operation.
BUG: (3/22/10, DN) Copy crashes when from old schematic view (Bug #2694).
FIX: In Snapshot.reuseSchemId allow null oldSchemEq in groups with many schematic cells.
BUG: (3/22/10, AM) Implement SignalDifference(Signal,Signal) (Bug #2476)
FIX: Add DerivedSignal. See DebugMenuAdam for example code.
IMP: (3/22/10, AM) Remove special-case handling of AnalogSignal in Panel.java
BUG: (3/22/10, SMR) Crash when referenced library is missing (Bug #2693).
FIX: In LibraryFiles.readExternalLibraryFromFilename() do not call
getOpenFileType() if the file is not valid.
BUG: (3/22/10, SMR) Showing errors while editing down-in-place may shift
the window (Bug #2690).
FIX: In UserInterfaceMain.reportLog() handle down-in-place transformation when
checking for error visibility.
BUG: (3/22/10, SMR) Assertion error when primitive port does not connect (Bug #2692).
FIX: Removed assertion in User.getArcNamesSorted().
BUG: (3/21/10, DN) Project settings / external technology (Bug #2124, #2687).
FIX: Move initialization of SoftTechnologies to Environment.implementSettingsChanges.
************************* Version 9.00b: ******************************
IMP: (3/21/10, AM) Use monoid min/max code for waveform rendering.
See demo at http://www.youtube.com/watch?v=0-5h60nOOzQ
IMP: (3/21/10, AM) Fixed many bugs in monoid summary code. Appears to
work now.
BUG: (3/18/10, GVG) NPE after re-exporting an ErrorLogger that contains invalid cells (Bug #2691)
FIX: Detecting case when cellId is null. Adding stack trace in debug mode.
IMP: (3/18/10, SMR) When pasting cell instances, should convert the view
so that layout instances become icons when pasting into a schematic cell
and icon instances become layout instances when pasting into a layout
cell (Bug #2381).
FIX: Added new Node Preference to do this. "Convert between schematic and
layout when pasting" is on by default.
BUG: (3/18/10, DN) CIF/GDS output doesn't merge boxes (Bug #2689).
FIX: In io.output.Geometry.Visitor.exitCell add "gMerge.postProcess(true);".
IMP: (3/17/10, AM) Finish range query support. Still a few bugs.
BUG: (3/17/10, DN) "-client" option doesn't work.
FIX: Add Main.InitClient Job.
BUG: (3/16/10, DN) Crash after creating new technology (Bug #2686).
FIX: Add WindowContents.loadTechnologies() and load it after TechPool change.
BUG: (3/15/10, SMR) Want to add pure-layer nodes to component menu (Bug #2685).
FIX: Added a list of pure-layer nodes in the Technology XML data structures.
IMP: (3/15/10, DN) First variant of multi-threaded JELIB/DELEB reader in
"File|Import|Fast JELIB Reader|Database" (enabled only in -debug mode).
IMP: (3/14/10, DN) Refactor LibraryFiles.readExternalLibraryFromFilename to use from new JELIB reader.
IMP: (3/13/10, DN) Add method "Netlist.getNetwork(Nodable no, Name portName)" (Bug #2533).
BUG: (3/13/10, DN) "VIA{x,y,z}.W.1" not valid for artwork arc? (Bug #2682).
FIX: If Jelib file contains invalid arc name, put it into ART_message Variable.
BUG: (3/10/10, SMR) CIF input fails on some cell instances (Bug #2684).
FIX: Use lists instead of linked-lists so that objects are processed in proper order.
BUG: (3/9/10, DN) Exception in regression due to private in EditingPreferences (Bug #2681).
FIX: In PrefPackage.putPrefs insert "field.setAccessible(true)".
IMP: (3/9/10, DN) Encapsulate fields in EditingPreferences (Bug #2672).
IMP: (3/8/10, GVG) Add OD18ud15 Transistors to the 40nm tech (Bug #2680)
IMP: (3/8/10, AM) Finish implementation of MinMaxOperation.
IMP: (3/7/10, AM) Start using MinMaxOperation.
IMP: (3/7/10, AM) Add skeleton for MinMaxOperation.
IMP: (3/7/10, AM) Re-enable summary update on BTree.insert()
IMP: (3/7/10, AM) Re-enable LeafNodeCursor.getSummary().
IMP: (3/7/10, AM) BTree.java: let REPLACE specify the old value;
enables one-pass replacements.
IMP: (3/7/10, AM) Add InvertibleOperation.
IMP: (3/6/10, AM) DigitalSignal: add support for varying drive strength.
************************* Version 9.00a: ******************************
IMP: (3/3/10, GVG) Ability to define exports per cell in Stitch fill gen (Bug #2677)
Option format: e[layerN1;layerN2;...;layerNn]
IMP: (3/3/10, AM) DigitalSignal extends BTreeSignal<DigitalSample>
IMP: (3/3/10, AM) DigitalSample implements Comparable.
IMP: (3/3/10, AM) Move getTree() from ScalarSignal to BTreeSignal.
IMP: (3/3/10, AM) Create new subclass ScalarSignal of BTreeSignal,
make BTreeSignal package-private.
IMP: (3/3/10, AM) Add Unboxed instances to subclasses of Sample.
IMP: (3/3/10, AM) Add DigitalSample.
IMP: (3/3/10, AM) Add UnboxedByte.
IMP: (3/3/10, GVG) Ability to define exports per cell in Stitch fill gen (Bug #2677)
Part of the implementation.
BUG: (3/2/10, DN) "Fat mode" option can't be turn off in Arcs pref (Bug #2672).
FIX: Temporary solution: Remove "final" attribute from annotated fields of EditingPreferences.
BUG: (3/2/10, SMR) ALS cannot simulate transistors (Bug #2674).
FIX: Redid "GenerateVHDL.java" to handle layout transistors correctly.
IMP: (3/1/10, SMR) Placement preferences now allows algorithm-specific
parameters (Bug #2673).
BUG: (2/26/10, GVG) DRC fails when transistor has more than 1 active layer (Bug #2669)
FIX: Condition removed for carbon-nanotube transistors.
BUG: (2/26/10, GVG) 3D View doesn't allow transistors with more than 2 active regions (Bug #2670)
FIX: Detecting CN transistors now whose active layers are not merged
IMP: (2/26/10, GVG) -debug and -regression don't work very well (Bug #2668)
BUG: (2/25/10, DN) Icon vs sch ports (Bug #2533).
FIX: In NetSchem connect exports by Name instead of by portImplementation.
BUG: (2/25/10, GVG) NPE in Preferences -> Technology -> Icon
FIX: Switch IconTab from Frame to PreferencesFrame constructor
IMP: (2/24/10, AM) Rename Approximation->View, add
Signal.getRasterView() which returns a RangeSample
IMP: (2/24/10, AM) Add RangeSample.
IMP: (2/24/10, AM) Sample does not implement Comparable (but
ScalarSample does).
IMP: (2/24/10, AM) Remove old Waveform.java methods.
IMP: (2/24/10, AM) Remove "using old/new code" marker on waveform window.
IMP: (2/24/10, AM) Merge Waveform.java into Signal.java.
BUG: (2/18/10, GVG) Electric litters files in my home directory without
my permission (Bug #2613)
FIX: Not using File.createTempFile for now.
IMP: (2/17/10, AM) Remove SignalSimpleImpl.
IMP: (2/17/10, AM) Rename SimulationSample to Sample.
IMP: (2/17/10, AM) Remove WaveformImpl and ComplexWaveform, add ComplexSample.
BUG: (2/17/10, AM) Plotting Spice output from the cell (not a file) fails (Bug #2665).
FIX: Try all file types.
BUG: (2/17/10, SMR) Plotting Spice output from the cell (not a file) fails (Bug #2665).
FIX: When doing this, use the Spice Preference to determine the engine.
BUG: (2/17/10, SMR) SVG output not right (Bug #2664).
FIX: Now handles underline, centers on page, and has a test.
IMP: (2/16/10, AM) Merge NewSignal.java and Signal.java
IMP: (2/16/10, AM) Remove ArchSimOut.java
IMP: (2/16/10, AM) Separate "Signal" from "Stimuli".
IMP: (2/15/10, AM) Remove old Epic reader.
IMP: (2/15/10, AM) When reading in SPICE output files, detect the
"flavor" automatically. Now the user no longer has to change
his/her preferences in order to read in simulation files.
BUG: (2/15/10, AM) Electric litters files in my home directory without
my permission (Bug #2613)
FIX: Stop littering.
************************* END OF Version 8.11, GNU RELEASE *************
************************* Version 8.11e: ******************************
IMP: (2/15/10, AM) Fix repaint logic in EditWindow to comply with
guidelines which distinguish "System-triggered" painting from
"App-triggered" painting; see
http://java.sun.com/products/jfc/tsc/articles/painting/#triggers
for further details.
BUG: (2/14/10, SMR) Technology editor can't edit TFT technology (Bug #2663).
FIX: Allow metal1/metal2 instead of poly/active in transistor detection.
BUG: (2/14/10, GVG) Make command doesn't include helphtml/*.jelib and *.txt (Bug #2655)
FIX: Manual files not filtered by junk command
BUG: (2/14/10, GVG) Wrong location of silicide block and hi-res layers in analog resistors (Bug #2658)
FIX: Database amended yet. Considered as done.
BUG: (2/14/10, DN) Assertion error after technology editor makes new technology (Bug #2662).
FIX: Don't modify in LayerInfo.parseCell an reference to internal rectanle returned by ni.getBounds.
BUG: (2/13/10, DN) Crash when reconciling preferences (Bug #2661).
FIX: Update MoCMOS.getPatchedXML because previously <menuNode>Poly1-Poly2-Capacitor</menuNode>
was replaced by <menuNodeInst protoName="Poly1-Poly2-Capacitior"...>.
BUG: (2/12/10, SMR) Crash in Vector drawing algorithm (Bug #2660).
FIX: Detect null cell in VectorCache.VectorCell.initTopOnlyShapes().
BUG: (2/12/10, DN) Importing GDSMap twice causes a crash (Bug #2659).
FIX: In GDSMap keep savedAssoc in Preferences instead of Prefs.
BUG: (2/12/10, GVG) More stuff for Bug #2658
FIX: mocmos.xml was re-generated. Database hasn't been amended yet.
BUG: (2/12/10, DN) IllegalStateException when importing GDS Map file (Bug #2657).
FIX: In GDSMap.java create OKUpdate Job to save map preferences on server side.
BUG: (2/12/10, DN) NotSerializableException for ImmutableArcInst (Bug #2652).
FIX: Remove "EditingPreferences ep" from IconParameters.
BUG: (2/11/10, GVG) Part of "Wrong location of silicide block and hi-res layers in analog resistors" (Bug #2658)
FIX: Changes in TechEditWizardData for now. mocmos.xml must be re-generated
IMP: (2/11/10, GVG) Better error if test library is not found in electric.jar (Bug #2653)
BUG: (2/11/10, SMR) Need fixes to Red and Purple libraries (Bug #2651).
FIX: Updated.
BUG: (2/11/10, SMR) Error highlighting fails when done in same cell (Bug #2646).
FIX: Fixed UserInterfaceMain.reportLog() to use proper window.
Also fixed pulsating highlighting in EditWindow so that it uses proper
paint() methods, and made it NOT the default.
BUG: (2/11/10, SMR) Placement regression fails (Bug #2650).
FIX: Make test request Min-Cut algorithm.
BUG: (2/10/10, DN) Routing regressions make fat wires.
FIX: Make fatWire and explicite field of InteractiveRouter.
IMP: (2/10/10, SMR) Added new placement code from Karlsruhe (Bug #2649).
BUG: (2/10/10, DN) Crash in ProjectTool initializer.
FIX: Make iconParameters alocal variable instead of static one.
IMP: (2/10/10, DN) Move plugins.menus.ScanChainXML into tool.simulation.test .
IMP: (2/10/10, DN) Refactor plugins.sctiming.SCRun into
tool.simulation.sctiming.SCRunBase and plugins.sctiming.SCRun .
BUG: (2/9/10, SMR) Color PostScript ignores text color (Bug #2624).
FIX: PostScript.psText() now uses text color.
BUG: (2/9/10, SMR) Crash simulating layout resistors in IRSIM (Bug #2397).
FIX: In IRSIM.createBucket() handle missing values.
BUG: (2/8/10, SMR) Layer drawing does not update screen when exports visibility changes (Bug #2648).
FIX: Have LayerDrawing.visibilityChanged() return true instead of false.
IMP (2/4/10, GVG) electric litters files in my home directory without my permission (Bug #2613)
Two new user preferences added: (1) to enable logging (2) to generate multiple logs.
Back to original strategy for logfile paths. See details in Bug #2613.
BUG: (2/4/10, SMR) Exports on rotated nodes draws wrong (Bug #2635).
FIX: Undid change made for Bug #2622; made PolyBase.rotateType() do nothing;
made Poly.transform() do no text rotating; and made
CircuitChangeJobs.RotateSelected.doIt() rotates Export text.
BUG: (2/4/10, GVG) NPE in min size layer rule if it didn't exist before (Bug #2647)
FIX: Detect if the rule existed before trying to remove it from the map.
IMP: (2/3/10, GVG) Remove PIE plugin and any reference to it (Bug #2645).
BUG: (2/3/10, SMR) Renaming schematic exports should rename ALL icon exports (Bug #2644).
FIX: Fixed in Export.rename().
BUG: (2/3/10, SMR) Verilog netlisting emits strange module names (Bug #2631).
FIX: Added a Verilog Preference "Write Separate Module for each Icon" which is
FALSE by default (the old behavior). Also fixed strange cell names
(with three underscores). Also made Spice NOT write separate modules for
each icon.
BUG: (2/3/10, SMR) Well check should not run on schematics (Bug #2642).
FIX: Disable on non-layout cells.
IMP: (2/2/10, SMR) Converted "Cell.acquireUserNetlist()" and
"Cell.getUserNetlist()" to be "Cell.getNetlist()" (Bug #2641).
IMP: (2/2/10, SMR) Removed "build.xml" from packaging (Bug #2640).
IMP: (2/2/10, SMR) Fixed copyright in tool.simulation.test and database.geometry.btree (Bug #2639).
IMP: (2/2/10, SMR) Removed obsolete simulator: ArchSim (Bug #2638).
BUG: (2/1/10, SMR) Carbon nanotube technology causes assertion failure (Bug #2637).
FIX: Fixed assertion in AbstractShapeBuilder.genShapeOfNode().
BUG: (2/1/10, SMR) "Change" fails when ports are coincident (Bug #2536).
FIX: Fixed NodeInst.portAssociate() to handle coincident ports.
BUG: (1/29/10, GVG) Client's protocol version incompatible with Server's protocol version (Bug #2630)
FIX: Project tool can't call IconParameters.makeInstance() with true.
BUG: (1/28/10, GVG) Assertion in Verilog running in debug mode (Bug #2634)
FIX: No checking port names for supplies
IMP: (1/28/10, SMR) Added first pass of SVG export (Bug #2003).
IMP: (1/28/10, GVG) Accessing many user values in Make Export (Bug #2630)
Important refactorization due to icon preferences used in new exports.
BUG: (1/28/10, SMR) Technology editor fails to identify objects (Bug #2632).
FIX: Use correct database in Manipulate.getLayerCell().
************************* Version 8.11d: ******************************
BUG: (1/26/10, SMR) Autostitch missing some arcs (Bug #2628).
FIX: Rearrange order of elimination tests in AutoStitch.testPoly().
BUG: (1/26/10, SMR) Cell groups with multiple icons should netlist with
multiple copies of the schematic (Bug #1957).
FIX: Changed Topology.java to handle this (added writeCopyForEachIcon()) and
modified Spice and Verilog to use it.
BUG: (1/26/10, GVG) NPE in PS export (Bug #2623) (another fix)
FIX: Detect the null case and assign corresponding TextDescription default for exports.
IMP: (1/26/10, GVG) Keeping suffix ".log" for Electric's logfiles (Bug #2613)
BUG: (1/25/10, GVG) SeaOfGate router should not depend on NotUsed elements (Bug #2508)
FIX: NODSIZE indices not longer overlap with single layer indices. See more details in report.
BUG: (1/25/10, SMR) NCC should respect the "Display Preference" to keep
cells in the same window (Bug #2572).
Fixed in HighlightTools.getHighlighter().
BUG: (1/25/10, SMR) Setting the Display Preference to keep cells in the same
window is not respected when browsing through errors (Bug #2573).
FIX: Use the Display Preference for error browsing.
BUG: (1/25/10, SMR) Change dialog still gives popup error messages for every node
that fails to change (Bug #2618).
FIX: Show just one popup at the end.
MAN: (1/25/10, GVG) NCC gets confused with two lay cells in the same group (Bug #2627)
Added comment in NCC manual.
BUG: (1/22/10, GVG) Runtime exception in LoadImage to create logos in Layout (Bug #2626)
FIX: Added extra code to check if file format is valid (png, jpeg so far)
IMP: (1/21/10, GVG) Message in MessagesWindow that a print job is running even though there is no
way to know if it is printing to file.
BUG: (1/21/10, GVG) NPE in PS export (Bug #2623)
FIX: Detect the null case and assign TextDescriptor.EMPTY
BUG: (1/21/10, SMR) Export text on rotated nodes reads wrong (Bug #2622).
FIX: In Export.getNamePoly(), adjust coordinates properly.
BUG: (1/21/10, SMR) Resizing a cell instance crashes (Bug #2621).
FIX: Prevent the crash and disallow the sizing.
IMP: (1/21/10, AM) Per Gilda's request, uniquify log file name and
print it in the messages window on startup. Related to Bug #2613
IMP: (1/21/10, GVG) Ability to save text in MessagesLog to a file (Bug #2620)
Only available in debug mode.
BUG: (1/20/10, SMR) Change dialog gives popup error messages for every node
that fails to change (Bug #2618).
FIX: Show just one popup at the end.
BUG: (1/20/10, DN) Arc creation is very slow (Bug #2615).
FIX: Replace ArcInst.makeInstance by ArcInst.newInstance without checking that arc ends are in PortInst's polys.
BUG: (1/20/10, GVG) SeaOfGates not considering spacing rule in the "to" surround
FIX: Extra code added. It didn't affect the regression
BUG: (1/20/10, SMR) Auto-stitcher making too many arcs (Bug #2617).
FIX: Force it to use proper layer and prevent wide arcs from expanding coverage in cells.
************************* Version 8.11c: ******************************
BUG: (1/19/10, GVG) "Hi-Res-Poly2-Resistor not properly check in MoCMOS.getPatchedXml (Bug #2616)
FIX: Name corrected in function. This element was not properly marked as "notUsed".
BUG: (1/19/10, GVG) Not counting properly analog elements found in MoCMOS.getPatchedXml()
FIX: Removing null elements before counting.
IMP: (1/17/10, AM) Enable dotted/dashed lines in plots (for
black+white publications). Clean up handling of panel aspect
ratios. Disable "plot as PDF" because the gnuplot pdf driver is
unreliable; use "plot as PS" instead and ps2pdf.
BUG: (1/17/10, AM) Electric litters files in my home directory without
my permission (Bug #2613)
FIX: In ActivityLogger, use java.io.tmpdir instead of $HOME.
IMP: (1/16/10, AM) Waveform plotting: support for: multiple panes,
multiple waves per pane, using user's colors for plotted waves,
using user's names for plotted waves, x-axis label.
BUG: (1/16/10, DN) Assertion Exception in netlist/network tool (Bug #2611).
FIX: In NetSchem.updateSchematic clear map exportNameMapOffsets.
************************* Version 8.11b: ******************************
IMP: (1/15/10, GVG) Ability to specify exports in top layers (Bug #2610).
New syntax: "@exports = {layerName1, layerName2}
BUG: (1/14/10, GVG) ConcurrentModificationException in Netlist.checkForModification from Stitch job (Bug #2609)
FIX: Modifications are cached now before running the actual changes.
BUG: (1/14/10, GVG) FillCell not working with SeaOfGates option (Bug #2608)
FIX: Remove the condition only for PortProto == Export.
BUG: (1/14/10, GVG) NPE in getBasePort (Bug #2607)
FIX: Detected invalid exampleHigh in Highlighter.getSimiliarHighlight(). Added extra assertion to future cases.
BUG: (1/14/10, GVG) Runtime exception in fill if it was run with a diff tech before (Bug #2606)
FIX: Static final dependencies removed.
BUG: (1/14/10, GVG) Some preference causes NCC to fail (Bug #2604)
FIX: Fixed rounding issue in sizes match for the absolute value.
BUG: (1/14/10, SMR) Getting ports and arcs on network is very slow (Bug #2590).
FIX: Now using bulk methods Netlist.getArcInstsByNetwork() and Netlist.getPortInstsByNetwork() .
IMP: (1/14/10, DN) Self-test of a method "Netlist.getNetwork(Name exportName);" (For Bug #2533).
BUG: (1/13/10, GVG) NPE in CelLFile with one contact as cell (Bug #2605)
FIX: Detecting when # of gnds is not availabel (capCell==null). Similar to fix for vdds.
BUG: (1/13/10, GVG) NPE in VectorCache while renaming library whose cell was displayed (Bug #2589)
FIX: Nothing fixed since it is hard to reproduce. Added extra code to detect the case.
BUG: (1/13/10, GVG) Undo/Redo history is partially lost after undoing "SaveLibrary" (Bug #2539)
FIX: Implementd Dima' suggestion.
BUG: (1/13/10, GVG) overlapping metal arcs not detected (Bug #2567)
FIX: Undoing again the changes. See Bug #2567 for details.
BUG: (1/12/10, DN) Getting ports on network is very slow (Bug #2590).
FIX: Create a method Netlist.getArcInstsByNetwork() .
BUG: (1/12/10, SMR) Preferences window is too small on JDK 7 (Bug #2554).
FIX: In PreferencesFrame constructor, invoke pack() in a new thread.
IMP: (1/12/10, SMR) Updated built-in Purple/Red/Orange libraries (Bug #2603).
BUG: (1/12/10, GVG) overlapping metal arcs not detected (Bug #2567)
FIX: Adding bounding box for the interaction information to avoid so many collisions
with the same pair of geometries.
IMP: (1/12/10, GVG) More generic contacts geneted by TechEditorWizard (Bug #2597)
BUG: (1/11/10, GVG) Exception in SPICE with Poly1-Poly2 cap (lay) (Bug #2599)
FIX: Missing port added. Technology.check() will report the error now.
BUG: (1/11/10, SMR) Messages window not scrolled quickly enough (Bug #2575).
FIX: Schedule scrolling for later.
IMP: (1/11/10, SMR) Fixed calls to Cell.copyNodeProto() (Bug #2587).
BUG: (1/11/10, SMR) "Duplicate Cell" doesn't swap icons (Bug #2598).
FIX: Fixed CellChangeJobs.DuplicateCell.doIt() to use CellIds.
IMP: (1/08/10, GVG) Part of "More generic contacts geneted by TechEditorWizard" (Bug #)
************************* Version 8.11a: ******************************
IMP: (01/08/10, GVG) Add DRC annotation to skip cell (Bug #2596)
Added memu Tools -> DRC -> Add Skip Annotation to Cell.
Many files modified due to the move of LayoutLib.error function to Job.error.
BUG: (01/08/10, DN) Getting ports on network is very slow (Bug #2590).
FIX: Add method Netlist.getPortInstsByNetwork to get ports in bulky way.
BUG: (01/08/10, DN) Difference between immutable and mutable nodes in cell? (Bug #2594).
FIX: Comment experimental code for now.
IMP: (01/07/10, GVG) TechEditWizard writing metal-based technologies (Bug #2595).
This also changed the order of elements in tsmcSun40GP.xml and the regression.
IMP: (01/07/10, SMR) "Change" dialog now shows different types of schematic
components (nMOS, pMOS, transistors, for example) and also shows
hierarchical list of new object types (Bug #2550).
BUG: (01/07/10, SMR) Highlighting broken for down-in-place (Bug #2593).
FIX: Changes to Bug #2577 did not consider down-in-place.
BUG: (01/06/10, SMR) Explorer tree has problems viewing hierarchically (Bug #2591).
FIX: Fixed ExplorerTree.java and ExplorerTreeModel.java
BUG: (01/06/10, SMR) Dumping many lines to the messages window is slow (Bug #2582).
FIX: In MessagesWindow.appendString(), use a different way to scroll to the bottom.
BUG: (01/06/10, DN) Not serializable exception after library rename.
FIX: In CellChangeJobs make newCells a map from CellId to Cell.
BUG: (01/06/10, DN) NPE in getBasePort while deleting exports displayed in 2 windows (Bug #2588).
FIX: In StatusBar.updateSelectedText skip highlights which are not valid.
BUG: (01/06/10, SMR) Highlighting and objects are different when zoomed close (Bug #2577).
FIX: In Highlight.drawOutlineFromPoints(), use grid coordinates.
BUG: (01/06/10, GVG) exporting preferences to xml and re-importing causes some
keybindings to disappear (Bug #2336)
FIX: "UTF-8" doesn't work on MacOSX (Snow Leopard). Back to original fix (default charset in MacOSX)
BUG: (01/06/10, DN) Assertion when running GNU version with a cmos90 library (Bug #2578)
FIX: In ImmutableNodeInst.addVar ignore TRACE on Cell instances and Cell-Centers.
BUG: (01/06/10, DN) Duplicate Cell assigned current tech instead of orig cell tech (Bug #2586)
FIX: In Cell.copyNodeProtoUsingMapping call NodeInst.copyStateBits instead NodeInst.copyStateBitsAndFlags.
In CellChangeJobs and in CrossLibCopy copyExpansionStatus on client side.
BUG: (01/05/10, GVG) Exception in CellChangeJobs if it is executed from another job (Bug #2585)
FIX: Detect the case when this job is started by another one.
IMP: (01/05/10, SMR) "Change" dialog now reports the number of changes to make (Bug #2583).
BUG: (01/05/10, SMR) Duplicating cells may crash (Bug #2576).
FIX: Keep a separate copy of the cell group before exploring it.
BUG: (01/05/10, GVG) exporting preferences to xml and re-importing causes some
keybindings to disappear (Bug #2336)
FIX: Trying "UTF-8" instead on MacOSX (Snow Leopard)
BUG: (01/05/10, DN) Wiring problem (Bug #2569).
FIX: In LayoutCell.doMoveArcInst() use proper ArcInst constructor for arc "ar3".
IMP: (01/04/10, GVG) Analog flag reported as "Vertical NPN Transistor pbase"
preference (Bug #2581). Manual might need to be reviewed.
IMP: (01/04/10, GVG) Calling the default PSubstrate process instead of PWell.
This goes aligned with the changes done for Bug #2561. Figure in 7-1-2 needs to be updated.
IMP: (01/04/10, GVG) Fix "AlgorithmName is accessed from Place cells" (Bug #2580)
BUG: (01/04/10, GVG) JELIB reader reporting errors in non-existing lines (Bug #2579)
FIX: Removed the adding of cc.lineNumber in the error report.
************************* END OF Version 8.10, GNU RELEASE *************
IMP: (12/30/09, DN) Remove thread-unsafe code.
"ToolMenu|Network|Redo Network Numbering" was also removed.
************************* Version 8.10r: ******************************
IMP: (12/27/09, AM) In debug mode, put an annotation on *all* panels
(not just legacy-code panels). Simulation-code bug reports which
lack a debug-mode screenshot will be summarily INVALIDated.
BUG: (12/26/09, DN) Version 8.08 library reads differently in later versions (Bug #2357).
FIX: In Environment.withSettingChanges remove old change test.
BUG: (12/26/09, DN) Extract Cell Instance in v8.10 very slow (Bug #2566).
FIX: In CellChangeJobs.PackageCell and CellChangeJobs.ExtractCellInstances Jobs expand cell
instances in terminateOK phase.
In CellChangeJobs.replaceExtractedArcs use another ArcInst factory method which doesn't check Export Polys.
IMP: (12/25/09, AM) Final documentation and cleanup of BTree code.
Please try to include this in the GNU release.
IMP: (12/23/09, AM) Make "show undriven networks" hierarchical (does
not seem to handle arrays of NodeInsts, though).
BUG: (12/22/09, GVG) exporting preferences to xml and re-importing causes some
keybindings to disappear (Bug #2336)
FIX: MacOSX doesn't support Charset="utf-8". Creating OutputStreamWriter with default
on MacOSX (Snow Leopard)
************************* Version 8.10q: ******************************
IMP: (12/22/09, GVG) Review warning: "should connect to polysilicon-1 because that
layer is in the node" (Bug #2521). Added extra code to consider the case of a poly2 contact
with extra poly1.
IMP: (12/22/09, GVG) The 'Change' dialog cannot handle selection of both arcs and
primitives (Bug #2565). Added extra message to deal with this case since the dialog is modeless.
IMP: (12/22/09, DN) Schematic DRC shows error when there is
an export "vdd" which is not connected to global "vdd" (Bug #2538).
IMP: (12/22/09, GVG) Get ERC to consider new resistors in layout (Bug #2557)
Not checking if resistors are reported twice due to the 2 ports.
BUG: (12/21/09, DN) Version 8.08 library reads differently in later versions (Bug #2357).
FIX: In MoCMOS.newState fix new states with incorrect ruleSet or numMetals.
IMP: (12/21/09, GVG) Get ERC to consider new resistors in layout (Bug #2557)
It works now after Bug #2561 and extra checking to avoid reporting resistor
errors twice (they have two ports).
IMP: (12/21/09, GVG) Make mocmos/tsmc180/cmos90 p-substrate technologies (Bug #2561)
Adding ERC tests for cmos90 and tsmc180 examples.
BUG: (12/21/09, SMR) "Cleanup Pins" warns about zero-size pins (Bug #2549).
FIX: In CircuitChanges.cleanupCell() ignore zero-size pins and also
ignore artwork primitives with zero area.
BUG: (12/21/09, SMR) Placement fails (Bug #2563).
FIX: In PlacementFrame.doPlacement(), made conditional port location code.
BUG: (12/21/09, SMR) Many simulation outputs do not read in (Bug #2564).
FIX: Fixed "readSimulationOutput()" method in Spice2, LTSpice, PSpice,
SmartSpice, Verilog, Epic, Raw format, and ArchSim.
IMP: (12/21/09, GVG) Changes for Get ERC to consider new resistors in layout (Bug #2557)
IMP: (12/20,09, DN) NPE in network coming from autostitch (Bug #2562).
FIX: In AutoStitich.java return false if specified "ni" doesn't have network (is icon of parent).
IMP: (12/20,09, DN) Set java.tab-size=4 in Netbeans project.
IMP: (12/20,09, DN) Exclude MacOSXInterface, JMF, Java3D from default Netbeans project.
IMP: (12/19/09, DN) Remove hard-coded "spiceparts:Ammeter{ic}" from Network code (Bug #2558).
Add "spiceparts:Ammeter{sch}" with dummy resistor to force NCC short-cut.
BUG: (12/17/09, GVG) Wrong function assigned to 40nm well resistors (Bug #2560)
FIX: Function types changed. Amended code for resolution (Bug #2540)
IMP: (12/16/09, GVG) Cache waveformview prefs from working threads (Bug #2556).
BUG: (12/16/09, GVG) FillGen with templates and mocmos (Bug #2555)
FIX: Extra code to deal with inconsistency in TSMC180 port names in transistors.
BUG: (12/15/09, DN) Version 8.08 library reads differently in later versions.
FIX: In MoCMOS.getSizeCorrector patch transistor size for library versions from 8.08h to 8.09c .
BUG: (12/15/09, GVG) NPE if you cancel Export waveform to CSV (Bug #2553)
FIX: Detect the cancel case (null file). Added an extra printing.
IMP: (12/15/09, GVG) Generalizing usage of capacitors in LE and ViewChanges.
Due to Bug #2529.
BUG: (12/15/09, AM) export waveform data as CSV (Bug #2482)
FIX: Fixed it.
IMP: (12/15/09, GVG) Correcting shortName in POLY2CAPAC (Bug #2529)
IMP: (12/15/09, GVG) Rename of groups adds name instead of replacing it (Bug #2547)
Now the condition for the dialog should be the correct one.
BUG: (12/15/09, AM) 12 second delay after loading tr0 file (Bug #2551)
FIX: AnalogSignal.getBounds() was doing a linear scan. Don't do that.
************************* Version 8.10p: ******************************
IMP: (12/15/09, GVG) More changes for new capacitors and resistors in
Schematics (Bug #2529). Hi-Res poly2 resistor function is now RESHIRESPOLY2
BUG: (12/15/09, GVG) NPE with Find Unused Libraries and spiceparts (Bug #2548)
FIX: Detecting cases which don't have valid URL to work with (spiceparts for example)
IMP: (12/14/09, GVG) Rename of groups adds name instead of replacing it (Bug #2547)
Added extra dialog to warn users about prefix action instead of replacement.
IMP: (12/14/09, GVG) XML reader should report better Function errors in
XML tech file (Bug #2543). Better detection of the case and sending an
error message instead of the exception.
BUG: (12/14/09, GVG) Inconsistency with DRC resolution (Bug #2540)
FIX: Make sure the tech resolution is a lambda value rather than a
scaled value.
BUG: (12/14/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
FIX: Issues with extension of ports and m1 surround. Hi-Res resistor
named Hi-Res-Poly2-Resistor for consistency.
BUG: (12/14/09, SMR) Control-shift-right dragging doesn't let you cut an
arc (Bug #2545).
FIX: In CircuitChanges.deleteSelected() accept a highlighted area.
BUG: (12/14/09, SMR) Auto-stitch misses ports when all in a line (Bug #2535).
FIX: In GenMath.getQuadrants() allow zero-size boxes to be in a quadrant.
IMP: (12/14/09, GVG) First set of changes for New capacitors and resistors in
Schematics (Bug #2529).
IMP: (12/14/09, GVG) No longer direct access to first extension in a FileType.
Using function instead.
BUG: (12/14/09, GVG) Out of bounds exception while plotting waveform into PDF
(Bug #2543)
FIX: Extra code to detect if given extension is a known one.
BUG: (12/14/09, GVG) NPE trying to print from Waveform viewer (Bug #2542)
FIX: Detects now non-EditWindow context and send error message.
IMP: (12/13/09, AM) Crude but usable support for plotting simulation
data via GnuPlot (both on-screen and as PDF for publication).
IMP: (12/12/09, AM) BTree.summarize(min,max) and support therefore;
still needs tested. Can answer queries like "what is the
minimum voltage in the time range [t0,t1]" with O(log|t1-t0|)
operations, so a query on a simulation set with 200 million
samples requires about a dozen operations rather than 200
million operations.
IMP: (12/12/09, DN) A reference from Cell to Topology and NetCell is
SoftReference.
IMP: (12/12/09, DN) Remove mutable computation of Technology.getShapeOfPort0().
BUG: (12/12/09, DN) Can no longer get polygons from dummy NodeInsts (Bug #
In PortOriginal.traverse and in NodeInst.getCellTreeUnsafe().
IMP: (12/11/09, GVG) Updated capacitor info in LE.
BUG: (12/11/09, SMR) EDIF input makes too many arcs (Bug #2536).
FIX: Stopped EDIF from making duplicate arcs and
Stopped AutoStitch from daisy-chaining busses.
BUG: (12/11/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
FIX: New names, new p non silicide poly resistor, min/spacing rules for hi-res
layer.
IMP: (12/11/09, GVG) XML Tech reader should verify if layer in DRC rule exists
(Bug #2534)
IMP: (12/11/09, GVG) Enable MoCMOS Subm rules with analog flag (Bug #2531)
IMP: (12/10/09, GVG) Group mocmos rules options (Bug #2532).
Subm, scmos and deep options added to a group.
BUG: (12/10/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
FIX: Wrong scaling in some layers.
IMP: (12/10/09) First modifications for new capacitors and resistors in
Schematics (Bug #2529)
BUG: (12/10/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
FIX: Some Functions were wrong. Added RESNACTIVE/RESPACTIVE
BUG: (12/10/09, SMR) Text problems (highlighting doesn't match, dotted line
wrong, anchor wrong on rotated nodes) (Bug #2528).
FIX: Fixed ElectricObject.computeTextPoly(),
Highlight.HighlightText.showInternalHighlight(), and PolyBase.rotateType().
************************* Version 8.10o: ******************************
BUG: (12/9/09, DN) Assertion in
Netlist.concurrentModificationException(Nodable,Global) (NCC regression)
(Bug #2523)
FIX: In SchemNamesToLay.constructor order Layout Cells from top to down.
BUG: (12/9/09, DN) Assertion in NetSchem.getNetMapOffset(Nodable,Global) (NCC
regression) (Bug #2523)
FIX: In NetSchem.getNetMapOffset(Nodable,Global) refine assertion.
BUG: (12/9/09, GVG) Verilog not detecting top cell properly (Bug #2527)
FIX: Look for last module read if no cell with the name of the file is found.
IMP: (12/9/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
Added unsiliced poly resistor. Fixed resizing of poly capacitor.
BUG: (12/9/09, SMR) EDIF input doesn't convert all <> brackets, misses some
renames (Bug #2526).
FIX: Fix all brackets, consider all renames.
BUG: (12/9/09, SMR) "Change" command crashes on "change nodes with arcs" (Bug
#2525).
FIX: More robust calculation of contacts, eliminate unused arc choices.
IMP: (12/9/09, GVG) Change Dialog should not list PrimitivesNodes not in used
(Bug #2524)
BUG: (12/9/09, SMR) Export PNG needs preferences (Bug #2474).
FIX: Added the defaultFont to EditWindow0 and passed that class to the relevant
modules so that both defaultFont and TextGlobalScale became available.
BUG: (12/8/09, GVG) Edit Key Bindings dialog hangs if no shortcut is selected in
the list (Bug #2353)
FIX: null as parent component in JOptionPane.showMessageDialog used.
BUG: (12/8/09, GVG) Missing refresh when cell is removed (Bug #2498)
FIX: Always do full Repaint.
IMP: (12/8/09, GVG) Part of export PNG and values not cached (Bug #2474).
BUG: (12/8/09, SMR) EDIF import places exports on instances incorrectly (Bug
#2512).
FIX: Use proper port instead of first one.
IMP: (12/8/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
Added active/poly/hi-res/well resistors. Only available in analog mode.
BUG: (12/8/09, SMR) Technology Preferences don't update right when "Analog" is
chosen (Bug #2520).
FIX: In TechnologyTab.term() must update dialog fields.
BUG: (12/8/09, DN) Exception arrayout of bounds and crash (Bug #2519).
FIX: Override IconNodeInst.setD and IconNodeInst.setDInUndo and clear nodables
there.
BUG: (12/8/09, DN) Frozen on NetSchem error (Bug #2518).
FIX: In NetSchem constructor make implementation from mainSchematics instead of
Icon Cell.
IMP: (12/8/09, SMR) Improved vector display memory usage by using immutable
database (Bug #2517).
IMP: (12/8/09, GVG) Multiple exceptions if PrimitiveNode is defined twice in XML
tech file (Bug #2516)
IMP: (12/8/09, GVG) Tech XML reader doesn't check if layer doesn't exist (Bug
#2515). Electric will print error message during the reading and ignore that
unknown layer.
BUG: (12/8/09, DN) Netlist.getNetIndex(Nodable,PortProto,int) fails when
IconNodeInst is passed as Nodable (Bug #2513).
FIX: In Netlist.getNetMapOffsetIndex(Noable,PortProto,int) remap portIndex by
ep.portImplementation .
BUG: (12/8/09, GVG) NPE if extension is not found (Bug #2514)
FIX: Detect the case when the extension is not from FileType
IMP: (12/8/09, DN) In threadsafe mode Netlists are weak-referenced.
IMP: (12/8/09, DN) Replace Netlist.expectedModCount by Netlist.expectedSnapshot
and expected.CellTree .
IMP: (12/8/09, DN) Field Cell.weakTopology is always non-null to simplify
Cell.getTopology().
BUG: (12/7/09, DN) Library cannot be read (Bug #2510, #2511).
FIX: In NetSchem.getNetMapOffset don't redirect portIndex by portImplementation.
IMP: (12/6/09, DN) Move network.NetSchem.Proxy to
topology.IconNodeInst.IconNodable.
IMP: (12/6/09, DN) Optimization of immutable algorithm of computing connected
Exports in schematic cells. Self-test is always on.
BUG: (12/5/09, DN) Regressions fails in getShapeOfPort.
FIX: Use cellTree.techPool instead of cellBackup.techPool for getShapeOfPort.
IMP: (12/4/09, GVG) MoCMOS new capacitor and resistors (Bug #2509)
Added capacitor and hi_res layer.
BUG: (12/4/09, DN) Can no longer get polygons from dummy NodeInsts (Bug #2507).
FIX: In NodeInst.getShapeOfPort() use getCellBackupUnsafe() instead of
cell.backupUnsafe(). In PortOriginal.traverse don't get database if cell is
null.
BUG: (12/3/09, DN) New ELIB files don't read back in. (Bug #2506).
FIX: In input.ELIB.convertPortProto return null when index is "-1".
Add field output.ELIB.ElibConn2239ection.arcIndex and use it for output.
BUG: (12/3/09, SMR) Should detect errors outside of jobs (Bug #2505).
FIX: Fixed "Align to Grid" and others.
************************* Version 8.10n: ******************************
BUG: (12/3/09, GVG) Undo gets stuck after saving files (Bug #2503)
FIX: Added extra condition to statement which brings up the dialog. Removing
write from done/undone lists.
BUG: (12/2/09, DN) ArrayIndexOutOfBoundsException in LayerDrawing.drawDiscRow
during redraw (Bug #2504).
FIX: Clip properly in LayerDrawing.discRow .
IMP: (12/2/09, SMR) New Node Preference "Increment rightmost array index"
allows export duplication to auto-increment from the left (if unchecked)
(Bug #2501).
BUG: (12/2/09, SMR) Missing plugins cause hang (Bug #2501).
FIX: Cleaned-up warnings.
IMP: (12/1/09, DN) Self-test in "-debug" mode for immutable algorithm of
computing connected Exports in schematic cells.
IMP: (12/1/09, DN) Self-test for immutable algorithm of computing connected
Exports in layout cells.
BUG: (12/1/09, DN) Network could obtain incorrect name if the Cell contains
nonelectric arcs.
FIX: In NetSchem.calcDrawnWidth move ai = it.next() before any "continue"
statements.
IMP: (12/1/09, DN) Technology computes shape of ports in immutable way.
BUG: (11/30/09, GVG) No cleanUnusedNodesInLibrary for MoCMOS special nodes such
as poly2 contact (Bug #2500)
FIX: CMOS90.cleanUnusedNodesInLibrary functionality moved to
Technology.cleanUnusedNodesInLibrary
BUG: (11/30/09, SMR) MOSIS CMOS PLA generator fails (Bug #2499).
FIX: In PLA.java, must start a Job.
BUG: (11/30/09, SMR) Going Up-Hierarchy when editing down-in-place should not
alter scale/pan (Bug #2497).
FIX: In EditWindow.upHierarchy() always keep focus when editing down-in-place.
IMP: (11/30/09, DN) Bus names of arcs and nodes are allowed only in icon and
schematic cells. The prototype of arc with bus name must be
Schematics.bus_arc . The prototype of node with bus name must be an Icon
cell.
IMP: (11/30/09, DN) Remove diagnostics of case-insensitive match of Variables.
IMP: (11/30/09, DN) Move diagnostics of Networks with case-insensitive match into
Schematics DRC. Remove field database.text.Name.canonicString.
IMP: (11/29/09, DN) CellTree contains EquivPorts which represents internal
connections in Layout CellTree.
BUG: (11/27/09, DN) Incremental DRC used incorrect conditions when to invoke.
FIX: Move CellRevision.getNode()/getArc() to
CellBackup.Memoization.getNodeById()/getArcById().
IMP: (11/27/09, DN) Move arcIndexByArcId from NetCell to CellBackup.Memoization.
BUG: (11/25/09, GVG) Error Logger: first time you use next error, you go to error
number 2 (Bug #2496)
FIX: Correct initialization of current log number
BUG: (11/25/09, SMR) Digital waveforms show panel and signal name badly (Bug
#2495).
FIX: In DragButton.java, combine the names instead of overlapping them.
IMP: (11/24/09, DN) Expand/unexpand command doesn't allocate Topologies of
subcells.
BUG: (11/24/09, SMR) Waveform window draws extra garbage (Bug #2493).
FIX: In HorizRuler.renderRuler() clear the area first.
BUG: (11/24/09, SMR) Export names not shown when editing down-in-place (Bug
#2438).
FIX: Patched all three display algorithms.
IMP: (11/24/09, DN) Release arrays NetCell.headConn and NetCell.tailConn when
they are no more used.
IMP: (11/24/09, DN) Redisplay in LayerDrawing doesn't allocate Topologies of
subcells.
BUG: (11/23/09, DN) ArrayIndexOutOfBoundsException when re-exporting highlighted
ports (Bug #2491).
FIX: In Cell.updatePortInsts don't update PortInsts of parent Cell if its
Topology is not allocated.
BUG: (11/23/09, DN) Routing regressions crashed attempting to save preferences.
FIX: In RoutingTest comment out ep.putPrefs .
IMP: (11/22/09, DN) NodeInst.getShapeOfPort doesn't allocate Topologies of
subcells.
BUG: (11/20/09, DN) can't change export name (Bug #2490)
FIX: In Cell.unfreshCellTree redoGeometric only if Topology is alive.
BUG: (11/20/09, DN) UnsupportedOperationException in Quit with
EmptyPreferencesFactory (Bug #2489)
FIX: In EmptyPreferencesFactory.flushSpi don't throw an exception.
BUG: (11/20/09, DN) UnsupportedOperationException on MacOSX with
EmptyPreferencesFactory (Bug #2488)
FIX: Don't setRemoteType in SunAsyncMenu class initialization. Instead replace
TYPE_LOCAL by TYPE_SSH in SunAsyncMenu.getExec and SunAsyncMenu.getCdToDir .
BUG: (11/19/09, DN) Bad diagnostics when ".bsh" script calls unavailable Java
method. (Bug #2484).
FIX: In EvalJavaBsh.doSource apply doGetTarget not only to bsh.TargetError but to
any bsh.EvalError .
BUG: (11/19/09, DN) Exception in fill gen/gate generation with
EmptyPreferencesFactory (Bug #2485).
FIX: Use Cell.isTopologyModified() instead of Cell.isContentsModified() when
changing nodes.
BUG: (11/19/09, GVG) NullPointerException importing GDS (Bug #2486).
FIX: GenMath.getAreaOfPoints() didn't consider some points are null from
GDS.buildComplexNode for example.
BUG: (11/19/09, SMR) Auto-stitcher does too much (Bug #2363).
FIX: Made stitcher shrink pin ports to the size of connected extended arcs.
IMP: (11/18/09, DN) NodeInst are owned by Topology object.
So GarbageCollector can discard them in unused Topologies.
BUG: (11/18/09, GVG/JKG) NullPointerException on wiring switching wiring targets
(Bug #2480)
FIX: Rounding dbmouse in ClickZoomWireListener:switchWiringTarget. Extra DBMath
in InteractiveRouter.getCornerLocation()
BUG: (11/18/09, GVG) Crash when typing "/" (Bug #2483)
FIX: Load next message if nothing has been shown yet.
BUG: (11/18/09, SMR) Crash when reading waveforms (Bug #2481).
FIX: Do not request current technology in TextUtils.atof().
************************* Version 8.10m: ******************************
IMP: (11/18/09, SMR) Arrow-key movement now goes by grid-aligned amount.
BUG: (11/17/09, DN) database exceptions, cannot save... (Bug #2479)
FIX: In CellTree.with() test for empty subTrees[i] .
IMP: (11/17/09, GVG) Avoid NPE in Pad generation in case of errors in input (Bug
#2478)
BUG: (11/17/09, GVG) Electric postscript output not working (Bug #2477)
FIX: Replaced "Rectangle2D printBound"; for "ERectangle printBound" in the
postscript prefs.
IMP: (11/17/09, GVG) 4 new layers in 40nm (Bug #2475)
IMP: (11/16/09, DN) CellTree is cached in Cell.tree .
Remove cell bounds computation code from mutable database.
BUG: (11/16/09, GVG) User Prefs/Proj Prefs/Text exports: double file extensions
(Bug #2473)
FIX: Considering case when two FileTypes have the same extensions
BUG: (11/16/09, SMR) Auto-stitch broken: adjacent ports from two instances do not
stitch (Bug #2472)
FIX: AutoStitch.ZEROSIZEPINS = false
IMP: (11/16/09, DN) Don't check in Snapshot.with those invariants which were
checked in CellTree.with .
IMP: (11/15/09, DN) Ensure that Cell.cellBounds are the same as
CellTree.getBounds().
IMP: (11/15/09, DN) Don't need to supply cell bounds to Snapshot.
IMP: (11/15/09, DN) Move "packaging" upper due to netbeans project.
IMP: (11/15/09, AM) Make legacy code off-by-default.
BUG:(11/13/09, DN) NullPointerException in repaint when trying to highlight
deleted port (Bug #2471).
FIX: In Highlight.HighlightEOBJ.showInternalHighlight return when eobj is not
linked.
IMP: (11/13/09, GVG) Working on a new DSPF reader
IMP: (11/13/09, SMR) Arc Preferences now has control over "fat wiring mode" (Bug
#2470).
BUG: (11/12/09, DN) AssertionError in getShapeOfPort (Bug #2468)
FIX: In Schematics.genShapeOfPort gen shape in grid units when lambda != 1.
Not getting double polys in NodeInsts with outline.
IMP: (11/12/09, GVG) EditWindow0.globalScale cached during class creation.
Caching placement prefs in Verilog input prefs.
IMP: (11/12/09, GVG) "\" character causing errors in VerilogReader (Bug #2465)
Not removing "\" from cell instance name.
IMP: (11/12/09, DN) Technology.getShapeOfPort() computes shape of ports both in
mutable and immutable way for self-check.
BUG: (11/11/09, GVG) "\" character causing errors in VerilogReader (Bug #2465)
FIX: Assertion removed. The "\" removed from the name.
IMP: (11/11/09, GVG) Run placement tool after Verilog reader (Bug #2467)
New preference added.
BUG: (11/10/09, GVG) Verilog not including subcells found in other libraries (Bug
#2466)
FIX: Using Library.findCellInLibraries() instead of lib.findNodeProto()
IMP: (11/10/09, DN) Export doesn't contain a strong reference to original
PortInst.
IMP: (11/09/09, GVG) TechEditorWizard should produce some 3D values (Bug #2235)
Implemented with Bug #2460
BUG: (11/09/09, GVG) Switching fro JELIB to DELIB generates wrong file extensions
(Bug #2328)
FIX: Warns now about the correct filename. Points 1 and 3 not implemented.
BUG: (11/9/09, DN) Null pointer exception while pasting layout cells (Bug #2462).
FIX: In CellTree.with always continue cellUsages loop when cui == null.
BUG: (11/9/09, GVG) Incorrect verilog netlist for individual ports with bus tags
(Bug #2461)
FIX: Fixed wrong export selection when the signal is descending.
IMP: (11/9/09, DN) Snapshot contains CellTree objects which can compute Cell
bounds. For some time Cell bounds will be computed both by Snapshot and by
EDatabase to self-check.
BUG: (11/6/09, GVG) Writing Verilog deck from Verilog view (Bug #1323)
FIX: Library_Cell format is just one option.
IMP: (11/6/09, GVG) Ability to specify min/spacing rules for other layers (Bug
#2460)
IMP: (11/6/09, GVG) Improving syntax in TechEditorWizard file to include rules
in @extra_layers
BUG: (11/5/09, GVG) VerilogReader can't parser /* comments (Bug #2459)
FIX: Added ability to read until end of the */ comment.
BUG: (11/5/09, GVG) Out of bounds exception in Verilog writing with invalid
syntax (Bug #2458)
FIX: Added extra code to detect syntax errors in input/output lines
IMP: (11/4/09, GVG) Ability to generate light internal version (Bug #2457)
************************* Version 8.10l: ******************************
BUG: (11/4/09, SMR) HPGL output crashes (Bug #2455).
FIX: Created EditWindow0.EditWindowSmall to pass to Jobs, fixed visitor.
BUG: (11/4/09, SMR) PostScript output crashes (Bug #2455).
FIX: Created EditWindow0.EditWindowSmall to pass to Jobs.
IMP: (11/03/09, DN) Cell.computeBounds() doesn't use ArcInsts.
IMP: (11/03/09, DN) Compaction.compactNow starts uses job.startOMyResult to be
scheduled in regressions before QuitJob.
IMP (11/02/09, GVG) Add Extra Layers in Palette (Bug #2454)
BUG: (11/02/09, GVG) NPE if extra layer information is incomplete (Bug #2453)
FIX: Detecting the cases. Code refactored.
IMP: (11/01/09, AM) PowerChannelResistorVoltageReadable: finish
implementation.
IMP: (11/01/09, AM) com.sun.electric.tool.simulation.test needs its
own JNI binaries because the class names changed. I added an "e"
(for Electric) to the end of these names and put them in
/proj/async/cad/test/ and changed the loadLibrary() calls to
point to them.
IMP: (10/30/09, GVG) TechEditWizard improvements: scrolls in some tabs,
image and labels overlap in well/implant tab (Bug #2452)
BUG: (10/30/09, GVG) Wrong setup of metal layers in Metal tab (Bug @2451)
FIX: Wrong loop limit detected in TechEditWizardData.setNumMetalLayers.
BUG: (10/30/09, GVG) Inconsistencies in 3D values for Active-cut and n-active
(Bug #2450)
FIX: 3D values for Active-cut and n-active in cmos90 fixed.
IMP: (10/29/09, AM) Signalyzer: implementation complete, tested, and
working (on Marina, chip #19).
IMP: (10/29/09, AM) NetscanGeneric.hw_net_scan_ir(): pass along the
length of the now-selected scan chain.
IMP: (10/29/09, AM) Make ExecProcessReader a Daemon thread.
IMP: (10/29/09, AM) Make ChainNode and
SubchainNode.{getParentChain(),getBitIndex()} public
IMP: (10/29/09, AM) Support HSpice output in BTree code.
BUG: (10/28/09, GVG) Bizarre rotation with facet-center selected (Bug #2449)
FIX: Facet center ignored if selected. Arcs whose both NI nodes are in the list
are also included.
BUG: (10/28/09, DN) Export not visible while editing in place (Bug #2438).
FIX: In VectorDrawing.render and LayerDrawing.drawCell pass proper
expansion status to drawPortList.
BUG: (10/27/09, GVG) Inconsistent cell expansion after undo (Bug #1138)
FIX: Detecting if user previously expanded/unexpanded the cell node instance.
BUG: (10/26/09, GVG) NPE in SaveAs command (Bug #2447)
FIX: Detect the case when no current library is available.
BUG: (10/26/09, GVG) NPE in Array dialog (Bug #2446)
FIX: Detecting cases where no valid cell/window is available
BUG: (10/26/09, SMR) Moving Cell variables beyond the 4088 offset limit
causes them to overflow and jump to a random location (Bug #1641).
FIX: In MutableTextDescriptor.setOff() now clip the scale factor.
BUG: (10/25/09, AM) New simulation data code needs to reinstate the
distinction between interpolated points and sampled points (Bug
#2346).
FIX: NewSignal.getPixelatedApproximation().
IMP: (10/25/09, AM) Change PCB examples in schematic.jelib to be
layout views.
IMP: (10/25/09, AM) Fix some typos in the manual.
IMP: (10/24/09, AM) Do not write files to disk unless simulation data
exceeds 50MB.
IMP: (10/24/09, AM) BTree: take advantage of CachingPageStorage API.
IMP: (10/24/09, AM) FileBasedPageStorage: defer creating the file
until the first page is requested.
IMP: (10/24/09, AM) Rewrite CachingPageStorage, make it thread-safe.
IMP: (10/23/09, AM) Add partial implementation of Signalyzer driver.
IMP: (10/23/09, AM) Add compileTestCode target which will compile
com.sun.electric.tool.simulation.test. I have confirmed that
this will fail (as desired) if the test code depends on any other
part of electric. We should call this build target from the
regression tests every night.
IMP: (10/23/09, AM) Move com.sun.async.test to
com.sun.electric.tool.simulation.test (as discussed with SMR,
JKG)
BUG: (10/23/09, GVG) Fill generator exception when cell already exists (Bug
#2444)
FIX: Printing warning instead of throwing assertion.
IMP: (10/23/09, JG) Added more invisible layer configs for metals 10, 11, 12 in
40nm
IMP: (10/23/09, GVG) Ability to provide layer functions in extra layer definition
(Bug #2445)
BUG: (10/23/09, SMR) Nodes in the component menu are drawn too small
when there are larger nodes in the same class (Bug #2233).
FIX: Nodes in the component menu are now drawn to fill the menu square.
BUG: (10/23/09, SMR) Crash when changing cell parameters (Bug #2442)
FIX: Handle missing parameters and treat them as empty strings.
BUG: (10/23/09, SMR) When "Duplicate/Array/Paste copies exports" is set,
deleting export text tries to delete it twice (Bug #2443).
FIX: In Clipboard.copyListToCell() copy the geometric list before modifying it.
BUG: (10/22/09, GVG) Crash when changing cell parameters (Bug #2442)
FIX: Temporary patch to avoid the exception. Not the solution yet.
************************* Version 8.10k: ******************************
BUG: (10/21/09, SMR) Verilog needs to separate instance names and network names
(Bug #2430)
FIX: In Verilog.writeCellTopology() detect and modify instance names.
BUG: (10/20/09, GVG) System clipboard data unavailable after second copy/paste on
MacOSX (Bug #2440)
FIX: Catching the exception on MacOSX. It is a known issue on that platform.
BUG: (10/20/09, GVG) NPE on Window -> Move to other display (Bug #2436)
FIX: Not finalizing old objects until new ones are created.
IMP: (10/19/09, GVG) Ability to add pins/arcs to extra layers defined in txt file
used by TechEditorWizard (Bug #2435)
IMP: (10/19/09, GVG) Scale GDS on export option (Bug #2434)
BUG: (10/18/09, AM) BTree waveform code randomly transposes signals.
FIX: Heed the distinction between a signal's "index" and its "number".
BUG: (10/18/09, DN) NPE while moving objects in threadsafe mode (Bug #2431).
Cell.getBounds() algorithm had a bug which appeared in threadsafe mode with
its lazy RTree calculation. Previously RTree calculated always and these
calculation hid this bug.
FIX: In Cell.getBounds() recalculate bounds of subcells in BOUNDS_CORRECT_GEOM
and BOUNDS_RECOMPUTE cases.
BUG: (10/16/09, GVG) TechEditorWizard doesn't write metal pin when numMetals=1
(Bug #2433)
FIX: Pins not longer defined in same loop as the metal contacts. Extra code to
detect arcs without pins associated (in an invalid tech for example).
BUG: (10/16/09, GVG) NPE if no pin associated to the arc is found (Bug #2432)
FIX: Case detected (probably due to invalid tech) and routing path is discarded
IMP: (10/16/09, GVG) Better caching of IllegalArgumentException in GDS IO (Bug
#2423)
BUG: (10/16/09, DN) NPE while moving objects (Bug #2431).
FIX: Measures to prevent Electric from hang:
1) Assertion Errors don't stop GUI thread;
2) MessagesStream prints elsewhere when Job.getUserIntereface() == null;
3) UserInterfaceMain.showSnapshot recovers database if undo fails;
4) Cell.recover() assign cellBounds to computed bounds instead of assert;
BUG: (10/16/09, DN) NPE in CVS with threadsafe mode on (Bug #2429).
FIX: In Edit.MarkForEditJob.doIt call
fieldVariableChanged("uneditMatchedStrings") earlier.
IMP: (10/13/09, GVG) Threadsafe (FULL_SCREEN_SAFE) mode back to default.
Use -nothreadsafe to switch to previous mode.
IMP: (10/12/09, GVG) Export names not sorted multi-object properties dialog (Bug
#2419). Adding sorting of highlights before they added into the selection
list.
BUG: (10/12/09, GVG) build.xml broken: not producing the right thing for GNU
releases (Bug #2427)
FIX: In generalPurposeJar, rolling back to unjarSun and zipfilesets commented out
BUG: (10/12/09, GVG) NPE in Spice if no schematic associated to an icon is found
(Bug #2428)
FIX: Detecting the case and assigning icon name as unique name.
BUG: (10/10/09, AM) Waveform viewer sometimes truncates data
horizontally when using BTrees (Bug #2413)
FIX: Do not snap the least and greatest visible times (t0 and t1) to
events before partitioning the space between them into equal
sized chunks.
IMP: (10/10/09, AM) NewSignal: request approximations by supplying a
desired number of events rather than an integer time denominator
-- the latter did not offer any way of asking for events which
are more than one time unit apart.
IMP: (10/10/09, AM) Implement BTree.{getOrdFromKey,getOrdFromKeyFloor}.
BUG: (10/10/09, AM) changes to grid do not take effect until next repaint (Bug
#2409)
FIX: Invoke EditWindow.repaintAllContents() from GridAndAlignmentTab.
BUG: (10/10/09, AM) Re-deprecate Infrastructure.waitSeconds() (Bug #2386)
FIX: Use Thread.sleep() instead.
BUG: (10/10/09, AM) Make of ColorPrefType.HIGHLIGH* values in pulsing highlight
(Bug #2401)
FIX: Convert HIGHLIGHT color to HSV, then use hue for pulsation.
BUG: (10/10/09, AM) Reading Logical Effort libraries produces many errors (Bug
#2410)
FIX: Open each of the three libraries, change something, save it, and exit.
IMP: (10/10/09, AM) update the LESettings{ic,sch} in purpleGeneric180.jelib.
BUG: (10/9/09, DN) NPE while getting the default tech (Bug #2424).
FIX: In User.endBatch don't invoke render job on initial empty Environment.
************************* Version 8.10j: ******************************
IMP: (10/8/09, GVG) Undo of Write library doesn't remove the file (Bug #2329)
BUG: (10/8/09, DN) NPE while getting the default tech (Bug #2424).
FIX: Check that Environment.settingValues are of correct type.
IMP: (10/8/09, GVG) Better error explanation while reading XML tech (Bug #2425)
IMP: (10/8/09, GVG) Making extra_layers definition in TechEditorWizard available
by default
BUG: (10/8/09, GVG) GDS and too large coordinates (Bug #2423)
FIX: Caching IllegalArgumentException in EPoint
BUG: (10/8/09, GVG) Exceptions in TechEditorWizard with incomplete tech txt files
(Bug #2422)
FIX: Consider incomplete metal definition.
BUG: (10/8/09, DN) Threadsafe mode slow? (Bug #2420).
FIX: Disable database self-test in UserInterfaceMain.showSnapshot.
BUG: (10/8/09, DN) Threadsafe mode slow? (Bug #2420).
FIX: Disable database self-test in UserInterfaceMain.showSnapshot.
IMP: (10/7/09, GVG) Show cell results in new window not working for errors? (Bug
#2421)
IMP: (10/7/09, AM) Ensure that the JVM exits with nonzero exit code if
an exception is thrown in Batch mode (so Electric can be called
from Makefiles).
IMP: (10/7/09, GVG) Back to DEFAULT_MODE = Mode.FULL_SCREEN_UNSAFE until
"threadsafe mode slow?" (bug #2420) is further investigated.
IMP: (10/7/09, DN) cvspm.Edit.UneditResponder stores matched Strings in a list
for later processing in Edit.MarkForEditJob.terminateOK (Bug #2417).
BUG: (10/7/09, DN) Threadsafe mode doesn't remember cells expanded (Bug #2416).
FIX: Move Cell.loadExpandStatus from Library readers to
FileMenu.ReadLibrary.terminateOK.
BUG: (10/7/09, DN) DefGridXBoldFrequency is accessed from null (Bug #2415).
FIX: Create class AbstractDrawing.DrawingPreferences to pass preferences to
RenderJob.
BUG: (10/7/09, DN) Inconsistent state of linkedlibs in DB after getting errors in
SaveAs (Bug #2406).
FIX: In FileMenu.RenameAndSaveLibraryTask.renameAndSave() throw JobException on
failure in thread-safe mode.
BUG: (10/7/09, DN) Marina scripts fail with "java.lang.AssertionError" (Bug
#2414).
FIX: Change Output.OutputCellInfo from CLIENT_EXAMINE to SERVER_EXAMINE .
In Make.UserInterfacDummy.terminateJob check for Job.isThreadSeafe().
IMP: (10/5/09, GVG) Toggle Grid and resolution warnings (Bug# 2404)
BUG: (10/1/09, GVG) Marina scripts fail with "Conflicting thread modes:
BATCH and THREAD_SAFE" (Bug #2411)
FIX: New Mode.THREAD_SAFE is defined (bug #2293) before -batch condition.
IMP: (9/30/09, AM) Rename com.sun.electric.tool.btree to
com.sun.electric.database.geometry.btree as instructed by SMR.
BUG: (9/29/09, SMR) Bold grid dot spacing cannot be changed (Bug #2405).
FIX: Made bold spacing use integers, not doubles.
BUG: (9/29/09, SMR) Implant coverage generator leaves notches (Bug #2408).
FIX: Better testing for areas within DRC limits.
BUG: (9/29/09, SMR) GDS import is imprecise (Bug #2402).
FIX: Rounded the "meter unit" read from the GDS file.
IMP: (9/29/09, GVG) Made "-threadsafe" (Mode.THREAD_SAFE) by default to test new
mode and fixes for bug #2293
IMP: (9/29/09, DN) A simple scheduler for CLIENT_EXAMINE jobs in thread-safe
mode.
IMP: (9/28/09, GVG) Extra code to detect case described in Bug #2151
BUG: (9/28/09, GVG) NPE in Export PS (Bug #2407)
FIX: Detect the 3D case and aborts the action. PS is only available from an
EditWindow instance
IMP: (9/28/09, AM) Successfully loaded a 1.1GB simulation file using a
400MB JVM heap (-Xmx=400m). Loading time was 3.8 minutes, and
once loaded panning/scrolling showed no perceptible delay.
IMP: (9/28/09, AM) Stream simulation data directly from the disk into
the BTree rather than storing it in an intermediate byte[]. We
can now officially load simulation files that are "bigger than
memory".
IMP: (9/28/09, AM) Finish implementing BTree.getValFromOrd(), which
lets us switch from a BTree<Integer,Pair<Double,Double>> to a
BTree<Double,Double>. Not much impact on time to load a file,
but cuts memory use by ~33%. Making the rasterization code use
getValFromOrd() instead of doing a binary search made a huge
difference in UI responsiveness, though.
BUG: (9/25/09, GVG) Can't save file in MacOSX after browsing in the save dialog
box (Bug #2326)
FIX: Using URL instead of String to open the FileWriter
************************* Version 8.10i: ******************************
BUG: (9/24/09, SMR) Explorer tree "Search" dialog doesn't allow multiple
cells to be selected and viewed (Bug #2322).
FIX: Now handle all selected cells.
IMP: (9/24/09, SMR) New Display Preference: "Show cell results in new window"
causes commands that show a cell to do it in a new window. The commands
are: "Edit XXX View", "Make XXX View", do Placement, ROM generation, PLA
generation, etc. (Bug #2388).
BUG: (09/24/09, GVG) Non-deterministic results in AutoFillTemplate test (Bug
#2380)
FIX: Sorting ports before FillRouter.
BUG: (9/24/09, SMR) GDS Preferences doesn't show enough scale precision (Bug
#2402).
FIX: In GDSTab.java, use more precision.
BUG: (09/24/09, GVG) Remove RDL layer from tsmcSun40GP tech (Bug #2403)
FIX: RDL definition in tsmcSun40GP.txt removed and xml tech file generated again.
IMP: (09/23/09, AM) Allow bold dots on non-integer lambda multiples.
IMP: (09/23/09, AM) Prefs.java: support "upgrading" a pref from
Integer to Double.
BUG: (09/23/09, AM) Export names change size rapidly when mousing over
highlighted objects (Bug #2382).
FIX: In pulsating Timer, use highlighter.showHighlights(,,true).
IMP: (09/22/09, JKG) if only export text is selected during copy,
it adds in the node the export is on so it can be copied (if copyExports is
on)
IMP: (09/22/09, JKG) Node extractor: improved active type selection, improved
multi-cut contact extraction to prevent lots of single contacts.
IMP: (09/22/09, AM) build.xml: produce jdk1.5-compatible bytecodes.
BUG: (09/21/09, GVG) Non-deterministic results in AutoFillTemplate test (Bug
#2380)
FIX: Sorting arcs based on length while selecting the best port to connect to.
IMP: (09/21/09, DN) Regression driver doesn't allocate mutable database.
IMP: (09/19/09, DN) If DRC.THREAD_SAFE_DRC=true, then DRC Jobs are SERVER_EXAMINE
and can run in thread-safe mode.
IMP: (09/17/09, DN) Quick.doCheck() and Schematic.doCheck don't call termLogging.
Instead caller terms Logging or do anything else.
BUG: (09/17/09, DN) Assertion in JobTree.updateJobs().
FIX: In UserInterfaceMain.java use SwingUtilities.invokeLater for updating Jobs.
************************* Version 8.10h: *******************************
BUG: (09/17/09, GVG) tmscSun40GP tech f-p-well node needs to be able to connect
to p-well arc (Bug #2399)
FIX: arc added.
BUG: (9/17/09, SMR) Explorer tree Library Search function doesn't clear
fields from last time (Bug #2322).
FIX: Fixed.
IMP: (9/16/09, DN) Lazy evaluation of RTree in thread-safe mode.
BUG: (9/16/09, SMR) Saving to a write-protected directory freezes the UI (Bug
#2293).
FIX: In FileMenu.RenameAndSaveLibraryTask.renameAndSave() do not throw a
JobException on error.
BUG: (9/16/09, SMR) Messages window doesn't handle standard text editing (Bug
#2398).
FIX: Improved Edit commands so that cut/copy/paste/erase/select all work
properly.
BUG: (9/16/09, SMR) Zero-size arcs are not area-selectable (Bug #2394).
FIX: In PolyBase.polyDistance() use DBMath.rectsIntersect() instead of
Rectangle.intersects() because the later doesn't handle zero-size rects.
IMP: (9/16/09, DN) Command-line switch "-threadsafe" turns on thread-safe mode.
BUG: (9/16/09, GVG) IRSIM on layouts and exceptions (Bug #2397)
FIX: Prevent to run IRSIM on non-schematic cells.
BUG: (9/15/09, AM) IllegalStateException when attempting to load
libraries in batch mode (Bug #2390).
FIX: Kludge in MessagesStream.print().
IMP: (9/15/09, AM) Implement BTree.getOrdinal() query and supporting
data structures.
BUG: (9/15/09, AM) Fix erroneous UnboxedInt.compare().
BUG: (9/15/09, SMR) Delete key doesn't work (Bug #2395), and ctrl-numpad 0
doesn't work (Bug #2396).
FIX: More special cases in KeyBindingManager.processKeyEvent().
BUG: (9/15/09, GVG) Missing "subtractAll" method in GeometryHandler (Bug #2384)
FIX: Fixed inconsistent refactoring of HashMap parameter to TreeMap in the
subtractAll function.
BUG: (9/15/09, SMR) Highlighting crashes when over portless cell (Bug #2391).
FIX: Fixed Highlighter.checkOutObject().
IMP: (9/15/09, GVG) Improve error message in case XML ErrorLogger import fails
(Bug #2393)
IMP: (9/15/09, GVG) Well resistors in 40nm (Bug #2392)
IMP: (9/15/09, SMR) Added two new error-reporting commands to
"Edit / Selection" which do NOT popup a new window:
"Show Next Error, same Window" and "Show Previous Error, same Window"
These are bound to "[" and "]" (Bug #2388).
BUG: (9/15/09, SMR) No way to select two coincident exports (Bug #2385).
FIX: Holding "Control" now cycles through the ports that are equidistant
from the cursor.
IMP: (9/14/09, JKG) Add "exportsToIgnore" NCC annotation (Bug #2387)
BUG: (9/14/09, AM) Merge com.sun.async.test.* into Electric code base
(Bug #2347). As discussed with SMR (in person), JKG (in person),
GVG (on phone), and DN (on phone).
FIX: After appropriate massaging, check former contents of
/proj/async/cad/cvs/test/ into electric/srcj/com/sun/async/test/.
Please see the package.html for details. I have also
write-protected the old CVS repository so people don't accidentally
check in anything new (any changes should go in here now).
IMP: (9/14/09, SMR) "Manipulate Exports" now lets you change the name and
characteristic of an export (Bug #2383).
BUG: (9/14/09, AM) SwingUtilities.isEventThread() assertion failure.
FIX: Use SwingUitilities.invokeLater() in SunAsyncMenu gdsJob.startJob.
BUG: (9/14/09, SMR) Explorer tree Library Search function doesn't close
properly and needs an "Edit All Cells" button (Bug #2322).
FIX: Fixed closure and added button.
BUG: (9/11/09, AM) We need to agree on what "batch" means and how to
launch Electric in headless mode (Bug #2375).
FIX: JonG says: "I think batch mode implies 'no GUI', and nothing
more.". Updated documentation and Main.java.
BUG: (9/11/09, AM) Garbled export names (Bug #2382).
FIX: Distinguish between HighlightLine instances which correspond to
errors and those which do not.
************************* Version 8.10g: *******************************
IMP (9/11/09, GVG): Ability to duplicate cells in another cell (for Bug #2023
investigation)
BUG: (9/10/09, DN) Cannot run calibre DRC (Bug #2379).
FIX: Implement UserInterfaceExec.termLogging and Exec.ExecProcess.userInterface
while discussing with Jon and Adam other solution.
BUG: (9/10/09, AM) EditWindow.java: stop pulsating timer when window
is closed (Bug #2371)
IMP: (9/10/09, GVG) Change Dialog not picking the preferred contact (Bug #2378)
IMP: (9/10/09, AM) build.xml: more efficient way of building
electric.jar without unjarring-and-rejarring stuff so much.
IMP: (9/9/09, AM) Added a fast path to the BTree code when insertions
are in key-increasing order. Net speedup when loading simulation
data: 74%. Combined with two previous modifications: 96%
improvement (time required is 4% of time previously required).
IMP: (9/9/09, AM) Change PageStorage to a zero-copy API, at the
expense of doing more byte[] allocation. This made it feasible
to increase the default page size from 1024 bytes to just under
4096 bytes (because the System.arraycopy()'s are no longer
killing us). Net result: 73% improvement in time to build BTree.
Combined with previous modification: 84% improvement (time
required is 26% of time previously required).
IMP: (9/9/09, AM) Store simulation data as BTree<Pair<Double,Double>>
instead of two BTree<Double>'s. Result: 43% improvement in time
to build BTree.
IMP: (9/9/09, AM) Remove com.sun.electric.tool.io.input.BTree (use
c.s.e.t.btree.BTree instead)
************************* Version 8.10f: *******************************
BUG: (9/9/09, DN) Orientation JUnit test fails in JVM-1.6 64-bit.
FIX: In Orientation constructor use StringMath instead of Math.
BUG: (9/8/09, GVG) NPE while resetting all preferences in Preferences dialog (Bug
#2376)
FIX: Detecting null cases in dialogs not used before the reset.
IMP: (9/8/09, DN) Move client queue from ClientJobManager to
AbstractUserInterface.
IMP: (9/7/09, DN) Job.currentUI is handled similar to StreamClient .
BUG: (9/7/09, DN) Starting Jobs from Jobs fails.
FIX: In Job.startJob compute "startedByServer" correctly.
IMP: (9/7/09, DN) Preparations for thread-safe database.
IMP: (9/7/09, DN) Electric throws IllegalStateException when attempting to
"plot spice listing" (Bug #2374).
FIX: Install UserInterfaceExec in Simulate.ReadSimulationOutput.run,
EpicOutProcess.run, ScalarEpicOutProcess.run.
IMP: (9/7/09, AM) Remove JDBM, use com.sun.electric.tool.btree instead.
IMP: (9/7/09, AM) Check in first draft of com.sun.electric.tool.btree.
IMP: (9/6/09, DN) Rename MultiTaskJobLight to MultiTaskJob, remove old
MultiTaskJob.
BUG: (9/3/09, JKG) bug 2351, logical effort had hard coded assumed values for
gate widths and lengths of the X=1 inverter. Those changed for 40nm.
They are now specifiable as logical effort settings
IMP: (9/3/09, GVG) Build.xml modified to unjar Jython and to include it into
electric.jar (ant jar). That is to run Scripting regression in batch mode
(part of Bug #2358)
BUG: (9/3/09, DN) Command line "-batch -s script.bsh" executes script without any
EditingPreferences (Bug #2373)
FIX: In Main.InitDatabase.doIt assign factory-default EditingPreferences.
BUG: (9/2/09, DN) DRCUpdate is not executed in MultiDRC regressins.
FIX: In "DRC.DRCUpdate()" use "startJobOnMyResult()" instead of "startJob()".
IMP: (9/2/09, DN) Better regression diagnostics.
IMP: (9/2/09, DN) Explicit UserInterface object for each thread.
IMP: (9/2/09, GVG) More changes to get Jython working in the regressions (Bug
#2358)
IMP: (9/1/09, AM) Change name of ScalarSignal to NewSignal (it is no
longer limited to scalar samples).
IMP: (9/1/09, AM) Parameterize ScalarSignal on arbitrary instances of
SimulationSample.
IMP: (9/1/09, AM) Change "Recenter On Selection" to "(move) Cell
Center to Center of Selection".
IMP: (9/1/09, AM) Renamed com.sun.electric.tool.user.Highlight2->Highlight.
IMP: (9/1/09, SMR) In explorer tree, the context command "Search" under
"LIBRARIES" now has a more powerful dialog that does partial searches, shows
results in the dialog, and lets the cells be edited (Bug #2322).
BUG: (9/1/09, SMR) Cannot use delete key in Text windows (Bug #2372).
FIX: Added recognition of TextWindow in KeyBindingManager.processKeyEvent().
IMP: (9/1/09, DN) ImmutableNodeInst.computeBounds doesn't require real NodeInst.
BUG: (8/31/09, SMR) Undo/Redo status is not updated during text editing (Bug
#2361).
FIX: In TextWindow.updateUndoRedo(), call showUndoRedoStatus() and
In Undo.updateUndoRedo(), do not call showUndoRedoStatus() if in a text
cell.
IMP: (8/31/09, SMR) User's Manual search highlights search keys.
IMP: (8/30/09, AM) Right-align signal names in the waveform viewer,
and truncate from the left when necessary. When a signal name is
very long due to being deep in the hierarchy, the *rightmost*
part of the signal name is most useful to the user.
IMP: (8/30/09, AM) Add a menu item to Window->WaveformWindow for each
icon button in the waveform window. Aside from acting as
documentation, this makes it possible for users to add a
keystroke for those buttons.
IMP: (8/30/09, AM) Add Edit->Move->Recenter Cell on Selection.
BUG: (8/28/09, JKG) Stitch fill generator not keeping arc extension (Bug #2364)
FIX: InterativeRouter modified.
BUG: (8/28/09, AM) Pulsing error highlighting doesn't work on
resolution/size DRC errors (Bug #2350).
FIX: In ErrorLogger, ensure that all error markers are logged with "thick" lines.
BUG: (8/28/09, DN) Array exception in stitch generator if cell is instantiated
(Bug #2360)
FIX: In StitchFillJob.generateFill reload netlist after database modifications.
BUG: (8/27/09, JKG) Stitch fill generator connecting subcells with wrong arc (Bug
#2362)
FIX: In InterativeRouter, Special contact code earlier so it would not be skipped
in this case.
BUG: (8/27/09, DN) Pixel drawing algorithm not displaying LE result (Bug #2359)
FIX: In PixelDrawing.drawNode replace "varContext.pop();" by
"varContext = varContext.pop();".
IMP: (8/26/09, SMR) Added "Tools / Languages / Run Jython Script..." (Bug
#2358).
BUG: (8/25/09, SMR) Bipolar technology crashes (Bug #2332).
FIX: Changed the function of the PNJunction node to a CONNECT.
BUG: (8/25/09, GVG) Assertion in techs with PrimitiveNode with more than 1 port
(Bug #2356)
FIX: Code generalized.
BUG: (8/24/09, SMR) Switching cells between icon and tech-edit does not update
the style of the Artwork technology in the component menu (Bug #2355).
FIX: In WindowFrame.setCellWindow() call loadComponentMenuForTechnology().
BUG: (8/24/09, SMR) Converting schematic technology to library fails (Bug #2354).
FIX: Fix vector conversion and disable editing of noneditable technologies.
BUG: (8/22/09, AM) Annotation text not selectable in a certain situation (Bug
#2352)
FIX: com.sun.electric.tool.user.Highlighter.findTextNow() must pass
all text to EditWindow.setTextInCell(), THEN filter out the text
that is not visible (rather than in the other order).
BUG: (8/19/09, DN) fill generator does not replace old versions of existing fill
cells (Bug #2342).
FIX: In Cell.kill() remove all instances of killed Cell.
In StitchFillJob.cleanReplacement copy all instances in a temporary list
before starting modification.
************************* Version 8.10e: ******************************
IMP: (8/18/09, SMR) Added Placement tool.
BUG: (8/18/09, SMR) Moving diagonal arcs may break them (Bug #2341).
FIX: Special diagonal arc handling only used if Manhattan arcs connected
to them are NOT selected.
BUG: (8/17/09, AM) Pulsating error highlighting causes 100% CPU usage
(Bug #2348)
FIX: Use a javax.swing.Timer instead of repaint requests.
BUG: (8/17/09, GVG) Fill generator is not putting enough contacts in when
connecting to cell instance (Bug #2340)
FIX: Adding nodes in SearchInHierarchy.
BUG: (8/17/09, SMR) If two arcs are in a line, meeting at an end (not sharing
a pin, but each having their own pin, and the pins are coincident)
then it is not possible to select the two arcs and use right-click
to wire them. Instead, all points are wired to (0,0) (Bug #2339).
FIX: In "InteractiveRouter.getIntersection()" the parallel but intersecting
case is now handled.
IMP: (8/16/09, AM) Finished first round of performance tuning for
BTree-based storage of simulation data.
BUG: (8/16/09, AM) Adjust CalibreDrcErrors so that a "CN" line applies
to all the rest of the errors for that rule, rather than just the
next error. Jon Gainsley: please verify this! (Bug #2338).
IMP: (8/16/09, AM) Add pulsating error highlighting. This is
useful because using motion to direct the user's attention (rather
than color) works with any color scheme.
IMP: (8/15/09, AM) Add "duplicate in place" option. When this is
enabled, the "duplicate" command will place the duplicated object
exactly where the original was. This is far more useful than the
default behavior when you have layout geometry that needs to stay
on a grid.
IMP: (8/12/09, AM) use BTree in ScalarEpicAnalysis. It works. But it
is slow.
IMP: (8/11/09, AM) add JDBM-based implementation of BTree (temporary,
just to get something working quickly).
IMP: (8/11/09, AM) build.xml: add support for temporary use of jdbm
libraries (SUN builds only).
IMP: (8/11/09, AM) Add BTree.java wrapper for various B-Tree
implementations (JDBM initially, something GNU-friendly later).
IMP: (8/11/09, SMR) Added Network Preference "Use pure-layer nodes
for connectivity" to control node extraction (Bug #2183).
IMP: (8/10/09, AM) Add ScalarEpicAnalysis and ScalarEpicOutProcess as
a testing ground for new on-disk random-access simulation data
format.
IMP: (8/10/09, AM) First chunk of tool.simulation refactoring.
BUG: (8/10/09, AM) Threading confusion has returned (Bug #2331).
FIX: Remember to check Main.isBatch().
BUG: (8/10/09, SMR) The GEM arcs do not draw properly (Bug #2300).
FIX: Finish translating the original C code for this.
BUG: (8/10/09, SMR) Explorer tree truncates library name (Bug #2327).
FIX: In ExplorerTree.MyRenderer.getTreeCellRendererComponent(), force the text
to be the library name.
************************* Version 8.10d: ******************************
BUG: (8/6/09, SMR) "Array" doesn't remember last centerline distance used (Bug
#2325).
FIX: Now remember for the current session.
BUG: (8/6/09, GVG) Verilog netlister isn't writing anything and complains about
preferences. (Bug #2324)
FIX: Caching VerilogInputPreferences together with VerilogOutputPreferences.
BUG: (8/6/09, SMR) "Search" context item in LIBRARIES explorer node fails (Bug
#2322).
FIX: Rewrite ExplorerTree.searchAction() to show all results.
BUG: (8/6/09, GVG) false DRC error between pdiff contacts in tsmcsun40GP (Bug
#2311)
FIX: Better detection if active from contacts overlaps with transistor active
BUG: (8/6/09, DN) Exception in PixelDrawing.drawText, text rotated 270 degrees
(Bug #2291).
FIX: In PixelDrawing.drawText clean assignments to sx and ex.
IMP: (8/5/09, GVG) Better short names in palette icons for well and active
contacts (Bug #2323)
BUG: (8/5/09, JKG) Fixes for node extraction. PURELAYERNODEMODE in node
extractor, probably should be preference. Changes to auto-stitcher used by
node extractor. (Bugs #2183, #2239)
(1) changed conditions for forcing an arc to have no head/tail extends
(RouteElementArc.java)
(2) more code for aligning to grid, for node extraction
(InteractiveRouter.java)
(3) fixes for node extractor, mostly in re-exporting up the hierarchy
(AutoStitch.java)
(4) purelayernodemode that extracts mostly pure layer nodes. lots of fixes
(Connectivity.java)
IMP: (8/5/09, JKG) fix for null color in LayerDrawing.java
************************* Version 8.10c: ******************************
IMP: (8/5/09, SMR) Added ${FILEPATH} to the possible substitutions in
the Spice Preferences Command/Argument fields (Bug #2318).
IMP: (8/4/09, AM) When writing a DELIB, swap ':' for '/' in cell
names (Bug #2310).
IMP: (8/4/09, AM) Update com/sun/electric/tool/simulation/package.html.
IMP: (8/4/09, AM) Make Output.writeLibrary throw an exception instead
of returning a boolean, in order to eliminate worryingly vague
"Error saving files. Please check your disk libraries" message.
IMP: (8/4/09, GVG) TechEditorWizard and a multiple spacing rules (Bug #2305).
Feature added but not turn on for 40nm yet.
BUG: (8/4/09, GVG) Pplus/Nplus enclosure of Well/ Subs taps should be 2
instead of 8 (Bug #2320)
FIX: Added STRAP values to the TechEditorWizard
BUG: (8/4/09, GVG) Allowable connections to P-Well-con should be Pwell arc,
not Pdiff arc (same for N-Well-con) (Bug #2319)
FIX: Ports fixed for those well contacts defined in a generic way.
IMP: (8/4/09, SMR) When placing a node from the component menu, typing "," or
"." before clicking rotates the node's initial orientation (Bug #2253).
BUG: (8/4/09, SMR) "Change" dialog takes too long when changing arcs with nodes
(Bug #2294).
FIX: Improved efficiency of finding a contact stack by caching correct contacts
to use.
BUG: (8/4/09, SMR) Current cell not remembered when it switches from text to edit
(Bug #2288).
FIX: In WindowFrame.setCellWindow(), always save current cell.
************************* Version 8.10b: ******************************
BUG: (8/3/09, SMR) Waveform window colors are not saved (Bug #2302).
FIX: Save colors with cell configurations.
BUG: (8/3/09, SMR) "Fill Window" doesn't work in waveforms (Bug #2301).
FIX: In WaveformWindow.fillWaveform(), computes left and right "fill" edges from
signals in the panels, rather than from bounds of all signals.
BUG: (8/3/09, SMR) Delete key doesn't work in outline-edit mode (Bug #2280).
FIX: Moved delete handling to the Erase function.
BUG: (8/3/09, SMR) Waveform window drops lines if endpoints are offscreen (Bug
#2299).
FIX: In Panel.processSignals(), always draw lines.
BUG: (7/29/09, GVG) tsmcsun40GP tech: User.getPrimitivePortConnectedToArc()
throws assertion error for N-Well arc (Bug #2317)
FIX: Assertion not valid for pure nodes
BUG: (7/29/09, DN) Race conditions in MultiTaskJobLight (reported by Eric).
FIX: Add field MultiTaskJobLight.numberOfFinishedJobs.
BUG: (7/28/09, GVG) Running DRC on 40nm layout causes 'assert' exception (Bug
#2316)
FIX: Add bit for foundry=NONE (from TechEditorWizard)
BUG: (7/26/09, DN) Electric highlights the wrong port when moving up the
hierarchy (Bug #2314).
FIX: In EditWindow.upoHierarchy find equivalent port if necessary.
IMP: (7/24/09, GVG) Need some more well/substrate contact types in 40nm (Bug
#2313). The process without pwell is called Psubstrate in the
TechEditorWizard now.
IMP: (7/24/09, DN) MultiTaskJobLight - light implementation of MultiTaskJob API.
BUG: (7/23/09, GVG) Function types are backwards for P/N Well contacts in
tsmcsun40GP (Bug #2309)
FIX: Nwell function properly defined in p/n well contacts in nwell process
BUG: (7/23/09, GVG) performance bug when changing metal layer in layout
(tsmc40GP) (Bug #2294)
FIX: Determine wiring direction to prune search. Not the final solution, just a
patch for now.
BUG: (7/23/09, GVG) java.lang.AssertionError (Bug #2304)
FIX: Contact conditions only valid for layout techs
BUG: (7/22/09, GVG) Missing some spacing rules in 40nm (Bug #2303)
FIX: Added metal spacing rules. Only 1 rule per pair.
BUG: (7/22/09, GVG) Drc generates false errors when checking pure layer node
geometry with trace information (Bug #2297)
FIX: isPointInsideCutAlgorithm is using pointInRect instead of pointInsideRect
for bounding box checking. This is only used for non-rectangular polygons.
BUG: (7/22/09, DN) EpicReaderProcess doesn't join HSim signals to groups. (Bug
#2298).
FIX: Add class EpicReaderProcess.EpicReaderContext to join signals.
BUG: (7/21/09, DN) EpicReaderProcess can't read HSim output (Bug #2298).
FIX: Epic signals can share waveform numbers.
IMP: (7/20/09, GVG) Adding back changes for "TechWizardEditor and non-
deterministic XML output" (Bug #2250) after Bug #2295 and Bug #2296 are
fixed.
BUG: (7/20/09, GVG) Wiring tool not working as expected in 40nm (Bug #2296)
FIX: Add equivalent list of ports.
BUG: (7/20/09, GVG) Wiring tool not picking the correct node (Bug #2295)
FIX: Add current port proto per combination of arc protos. Can be manipulated
from the tech palette.
BUG: (7/17/09, GVG) Undoing bug #2250 due to bug #2295.
BUG: (7/16/09, GVG) NPE in showNetworkCommand (Bug #2292)
FIX: Wrong detection of null condition.
BUG: (7/15/09, GVG) Compare Displayed Cells tool looking for subcells in wrong
library (Bug #2290)
FIX: Skip subcells not found in the same library because they are shared with
other cell
IMP: (7/15/09, GVG) Compare Displayed Cells tool should ignore 0-sized nodes (Bug
#2289)
IMP: (7/15/09, GVG) Consider X axis while comparing points not aligned in
StitchFill:isLeftTop (Bug #2281)
************************* Version 8.10a: ******************************
IMP: (7/15/09, AM) Back out change from 7/12 until we figure out
what's going on with the regressions.
BUG: (7/14/09, AM) EpicAnalysis.getSignalsFromExtractedNet() always
returns null (Bug #2287).
BUG: (7/14/09, AM) Threading assertion failure when creating waveform
window (Bug #2286).
IMP: (7/13/09, GVG) Adding ERC Antenna to the regression (bug #2283)
BUG: (7/13/09, GVG) tsmcsun40GP tech: n/p-well arcs in palette menu, connect to
p/n-diff layers (Bug #2282)
FIX: The n/p-well arcs should be selectable from the palette menu (part I).
IMP: (7/12/09, GVG) More changes in LayoutImage dialog
IMP: (7/12/09, AM) If "-" is supplied as an argument to "-s" on the
command line, read a bean shell script from stdin.
IMP: (7/12/09, AM) Move i2i from Schematics to ArrayIterator.
IMP: (7/11/09, AM) Fix EMenuItem so it will not throw an exception if
java.awt.headless=true in -batch mode.
IMP: (7/11/09, AM) Fix "-batch" mode; it broke somewhere between 8.08k
and here.
IMP: (7/11/09, AM) Move getLibraryFormat() from FileMenu to FileType
so it can be invoked when java.awt.headless=true.
IMP: (7/11/09, AM) Schematics.java: make appearance of off-page
connection node depend on the PortCharacteristics of its Exports.
BUG: (7/10/09, GVG) tsmcsun40GP tech: n/p-well arcs in palette menu, connect to
p/n-diff layers (Bug #2282)
FIX: Well arcs available for diff primitive nodes (part II)
IMP: (7/10/09, JKG) NodeInst centers do not need to be on grid (only edges
matter), so relaxed restriction. For well and implant, put back whole
original layers in, as this is simpler (no connectivity needed)
IMP: (7/10/09, GVG) BJTDMY in 40nm
BUG: (7/8/09, GVG) ERC Antenna and NotSerializableException (Bug #2283)
FIX: Made TechPool in AntennaPreferences transient.
IMP: (7/8/09, GVG) Stitch fill generator needs to find all possible connections
with cell instances (Bug #2273). New solution looks for all possible
intersections. It might be slow.
IMP: (7/8/09, AM) LayoutImage dialog and implementation.
BUG: (7/8/09, SMR) Run Probe option under LTSpice simulation does not work (from
BSU, Bug #2279).
FIX: In com.sun.electric.tool.io.output.Spice.SpiceFinishedListener.
processFinished(), replace method with:
SwingUtilities.invokeLater(new Runnable() { public void run() {
Simulate.plotSpiceResultsThisCell();
}});
BUG: (7/8/09, SMR) When undoing changes, index out of bounds exception when no
more undo's are available (from BSU, Bug #2278).
FIX: In com.sun.electric.database.change.Undo.getUndoActivity(), replaced
return doneList.get(doneList.size() - 1).activity;
with:
if (!doneList.isEmpty()) return doneList.get(doneList.size() - 1).
activity;
System.out.println("Nothing left to undo");
return "";
In com.sun.electric.tool.user.ui.ToolBar.java, added:
if (task.length() == 0) realUndo = false;
After line 1157 containing:
boolean realUndo = true;
Replaced line below 1157:
if (task.equals(FileMenu.openJobName))
With:
if (task.equals(FileMenu.openJobName) && realUndo)
BUG: (7/7/09, JKG) Some arcs generated by Stitch fill gen have extended tail/head
(Bug #2277)
FIX: swapped width/height check in getExtendArcEnd to choose proper ends extended
state. Fixed call to node size to get without offset.
IMP: (7/7/09, GVG) Generic pins in layout should generate only DRC warnings (Bug
#2276)
IMP: (7/7/09, SMR) EDIF import now gets Export characteristics right in schematic
cells (Bug #2275).
IMP: (7/6/09, GVG) TechWizardEditor and non-deterministic XML output (Bug #2250)
BUG: (7/6/09, GVG) Stitch fill generator and flat cap cells (Bug #2274)
FIX: InteractiveRouter detecting singular lines (defined by same point).
IMP: (7/6/09, GVG) Stitch fill generator needs to find all possible connections
with cell instances (Bug #2273)
BUG: (7/6/09, SMR) Extension renaming broken for DELIBs (Bug #2256).
FIX: Fixed Output.writeLibrary().
BUG: (7/6/09, GVG) fill generator still missing some contacts (Bug #2240)
FIX: Added orientation instruction in doc file otherwise it is hard to look for
intersections.
BUG: (7/6/09, GVG) All *. lay cells renamed to deleted after running the stitch
generator? (Bug #2272)
FIX: Undone changes in Output.writeLibrary() for bug #2256.
BUG: (7/6/09, SMR) Text windows cannot cut/copy/paste (Bug #2269).
FIX: Added these functions to TextWindow.java.
IMP: (7/2/09, GVG) 3D Viewer shouldn't be available if j3d package is not
available (Bug #2268)
IMP: (7/2/09, GVG) Add 40nm Poly Resistors (Bug #2267)
IMP: (7/2/09, SMR) Added "Cell / Cell Info / Library Graph" to display
organization of library dependencies (Bug #2219).
BUG: (7/2/09, SMR) Deleting individual stimuli from waveform window removes
entire waveform (Bug #2266).
FIX: In WaveformWindow.deleteSelectedSignals(), if a stimuli is removed,
do not remove entire waveform.
BUG: (7/2/09, SMR) LTSpice crashes when reading back stimuli (Bug #2265).
FIX: Changed tool.io.input.Simulate.ReadSimulationOutput class to be Thread,
not Job.
IMP: (7/2/09, GVG) Importing instead of exporting of Text Cell Contents (Bug
#2264)
FIX: Calling tw.writeTextCell instead of tw.readTextCell
IMP: (7/2/09, GVG) PNG Export doesn't log message in MessageWindow (Bug #2263)
BUG: (7/2/09, GVG) Assertion in GraphicsPreferences (Bug #2262)
FIX: No User background color in EditWindow.getPrintImage()
IMP: (7/1/09, GVG) 180nm techs not longer overwriting setPrimitiveNodeSize.
This will allow to get 40nm resistors get properly sized.
BUG: (7/1/09, SMR) Memory leak in WindowFrame (Bug #2261).
FIX: (fixed by Winway Pang of BSU) Call "jif.dispose()" in
WindowFrame.finished().
BUG: (7/1/09, SMR) Arrow and Delete keys in in-place editors handled wrong (Bug
#2259).
FIX: Added EIPEditorPane and EIPTextField to KeyBindingManager.processKeyEvent().
BUG: (7/1/09, SMR) Changing extension of output file is ignored (Bug #2256).
FIX: In Output.writeLibrary() only add extension if there is none.
BUG: (7/1/09, SMR) PostScript text is incorrectly scaled (too large) and
PostScript gives errors about the server trying to access Preferences (Bug
#2258).
FIX: Moved preference access to client.
BUG: (7/1/09, SMR) Node extractor does not grid-align (Bug #2239).
FIX: Grid alignment added to node extractor and routers.
BUG: (6/29/09, SMR) Arc Preferences crashes when changing technology (Bug #2255).
FIX: In NewArcsTabnewArcsPrimPopupChanged(), ignore if already changing.
IMP: (6/29/09, SMR) Rolling mouse wheel with control held zooms in and out
(Bug #2248).
BUG: (6/29/09, SMR) Spice primitives are not centered (Bug #2249).
FIX: Centered all primitives and added a "Probe" symbol.
BUG: (6/26/09, GVG) fill generator doesn't connect all networks found in
fillCap (Bug #2254)
FIX: HierarchicalEnumerator added to find arcs in sub cells included as
instances.
IMP: (6/25/09, GVG) Adding <resolution> in the XML tech description for Bug
#2239
BUG: (6/25/09, SMR) Auto-repeat of arrow keys does not work (Bug #2251).
FIX: Fixed KeyBindingManager.java:
KeyBindingManager now implements KeyEventDispatcher
Constructor calls: KeyboardFocusManager.getCurrentKeyboardFocusManager().
addKeyEventDispatcher(this);
KeyBindingManager now has:
public boolean dispatchKeyEvent(KeyEvent e) {return processKeyEvent(e);}
KeyBindingManager.processKeyEvent() does different shift modification and
has special source detection (source detection required that
"OpenFile.OpenFileSwing" be public)
IMP: (6/24/09, SMR) GDS import has "Cadence Compatibility" preference
which adjusts export locations to be inside of the geometry (Bug #2246).
************************* END OF Version 8.09, GNU RELEASE *************
************************* Version 8.09n: ******************************
IMP: (6/23/09, GVG) new voltage marker layers (Bug #2245)
BUG: (6/23/09, DN) New: infinite exceptions when doing Edit->Change (Bug #2244).
FIX: In NetworkTool.getNetworksOnPort check if pi.isLinked().
BUG: (6/23/09, SMR) Status bar still not readable (Bug #2234).
FIX: Added spaces around the Selected, Size, and Tech fields.
BUG: (6/23/09, SMR) Epic reader gives strange error when EOF encountered (Bug #2243).
FIX: Warn about the EOF condition.
BUG: (6/22/09, DN) XML Tech Reader should warn if PrimitiveNode in Palette is not defined (Bug #2241).
FIX: In Xml.startElement.menuNodeInst print warning if protoName isn't found.
BUG: (6/22/09, DN) Max #EThreads set by # of processors (Bug #2237).
FIX: ServerJobManager.defaultNumThreads = Math.max(2, Runtime.getRuntime().availableProcessors());
BUG: (6/22/09, DN) New: Display problems extra node... (Bug #2236).
FIX: In Schematics.getShapeOfNode/genShapeOfNode don't apply correction to extra blobs.
BUG: (6/22/09, DN) Pattern scale limit and M9 (Bug #2227).
FIX: Default value of User.getPatternedScaleLimit() is 0.5 according to JonG.
BUG: (6/22/09, GVG) Stitch fill generator having problems to get fillCap{lay} (Bug #2242)
FIX: Added corresponding layout extenstion to the cell name.
BUG: (6/22/09, SMR) Status bar shifts as mouse moves (Bug #2234).
FIX: Fixed size of elements, added grid lines.
BUG: (6/21/09) Pixel drawing algorithm not working in big schematics (Bug #2228).
FIX: In PixelDrawing.drawImage move definition of drawBounds after call of initOrigin.
BUG: (6/20/09, DN) Failed Jobs throw exceptions (Bug #2226).
FIX: Don't print EJobException to stderr.
BUG: (6/19/09, GVG) Fill stitcher does not insert contacts for 40nm tech (Bug #2232)
FIX: Sort metal layers per level. Removed dependency of predefined orientation of metals.
BUG: (6/18/09, GVG) NPE in Pixel drawing with GlobalTextScale (Bug #2229)
FIX: Detecting if wnd is null. If yes, use User.getGlobalTextScale()
BUG: (6/18/09, SMR) When jobs fail, an exception is thrown (Bug #2226).
FIX: Fixing failed-job error messages.
IMP: (6/18/09, SMR) Fix error messages in Silicon Compiler
IMP: (6/17/09, GVG) fixed set verilog standard cell template command
************************* Version 8.09m: ******************************
IMP: (6/17/09, GVG) Add VTH/VTL Transistors in 40nm (Bug #2225)
BUG: (6/17/09, SMR) River router crashes when it accesses highlights from Job (Bug #2223).
FIX: Moved user access to Job constructor.
BUG: (6/16/09 GVG) No capability to get coloringAttributes (Bug #2221)
FIX: Added missing Appearance.ALLOW_COLORING_ATTRIBUTES*
BUG: (6/16/09, GVG) Movie feature broken in Electric (Bug #2220)
FIX: Resources for JMF and Java3D split.
BUG: (6/16/09, GVG) JPEG package Sun propietary. Get rid of them? (Bug #1344)
FIX: Using javax.imageio.ImageIO package instead
BUG: (6/16/09, DN) DELIB cell files of deleted cells aren't renamed to ".deleted".
FIX: In DELIB.checkIfDelete use getName instead of getLibraryPath.
IMP: (6/16/09, DN) Prohibit in Technology.xsd deprecated attributes of <primitiveNodeGroup>.
BUG: (6/13/09, DN) Delib cell not marked for saving when version is deleted (Bug #1945).
FIX: In ImmutableLibrary keep delibCells insteadof delibCellFiles.
************************* Version 8.09l: ******************************
IMP: (6/11/09, GVG) NT transistor added in 40nm technology (Bug #2212).
BUG: (6/10/09, SMR) Layers Preferences do not notice when color schemes change (Bug #2217).
FIX: WindowFrame.repaintAllWindows() now notifies the Layers Preferences to recache.
BUG: (6/10/09, SMR) Interactive sizing crashes if edge is sized about center (Bug #2218).
FIX: Fixed SizeListener.getNewNodeSize().
IMP: (6/9/06, DN) Method "EvalJavaBsh.displayCell(Cell cell)" to display Cell after
termination of ".bsh" script.
BUG: (6/9/09, DN) Technology editor causes crash in redisplay after making technology (Bug #2215).
FIX: In PixelDrawing.initForTechnology reallocate layerBitMap after change of color map size.
BUG: (6/9/09, DN) Problem when changing layer fill pattern (Bug #2214).
FIX: In EGraphics.withPattern use "pattern" instead of "this.pattern".
IMP: (6/9/09, GVG) OD18 transistors added in 40nm technology (Bug #2212).
BUG: (6/8/09, GVG) Double amount of layers from TechEditorWizard (Bug #2216)
FIX: Lists clean.
IMP: (6/8/09, GVG) Adding deep well in 40nm technology (part of Bug #2212).
Ability to define patterns in tech editor wizard.
BUG: (6/8/09, DN) Some artwork arcs drawn incorrectly (Bug #2213).
FIX: In AbstractShapeBuilder.transformDoubleCoords consider artwrok arcs specially.
IMP: (6/8/09, JKG) Imported Calibre errors should show rule number (40nm) (Bug #2211)
************************* Version 8.09k: ******************************
IMP: (6/4/09, GVG) A different spacing rule for well in 40P (Bug #2183)
FIX: (6/4/09, JKG) Changed tsmcsun40GP tech to use minimum spacing rule instead of
recommended spacing rule for poly (PO.S.1)
IMP: (6/4/09, DN) Make GraphicsPreferences serializable.
BUG: (6/4/09, DN) The "current cell" is not available while running a Job.
FIX: Propagate current cell to ServerJobManager.UserInterfaceRedirect.
BUG: (6/3/09, DN) NoSuchElementException while editing in place (Bug #2209).
FIX: In LayoutCell.ConnectionIterator.findNext skip deleted arcs.
IMP: (6/3/09, SMR) Technology-specific imports now prompt for the technology (Bug #2162).
BUG: (6/3/09, SMR) "Change" command crashes (Bug #2208).
FIX: In Change.ChangeObject class, cache cell in constructor.
IMP: (6/3/09, JKG) Added text output to GDS out. Also tied in export renaming
to the text output. Tied to "include text" gds preference.
BUG: (6/3/09, SMR) Node extraction may create extra implant layers (Bug #2202).
FIX: In RouteElementArc.newArc() consider all layers of the arc.
IMP: (6/2/09, GVG) Add two extra layers in tsmcsun40GP (Bug #2206)
BUG: (6/2/09, DN) Re-export Everything is doing too much (Bug #2197).
FIX: In CellBackup.hasConnections search for the proper portId.
BUG: (6/2/09, DN) Electric doesn't remember which grid setting I was last using (Bug #2204)
FIX: In User.setAlignmentToGridVector use UserInterfaceMain.setEditingPreferences.
BUG: (6/2/09, DN) Artwork pins now have large black dots on them (Bug #2203).
FIX: Add parameter wipePins to AbstractShapeBuilder and use it VectorCache.
BUG: (6/2/09, SMR) Status bar no longer shows the technology, scale, or cell size (Bug #2205).
FIX: Do not make these fields invisible: they lose their size.
IMP: (6/1/09, GVG) Using partition algorithm (Bug #2193) in Extraction tool.
This should improve results reported in Bug #2183.
BUG: (6/1/09, SMR) Splash screen goes away too quickly on MDI systems (Bug #2201).
FIX: Restored WindowListener in UserInterfaceMain.SplashScreen.
IMP: (5/31/09, DN) If ImmutableNodeInst.SIMPLE_TRACE_SIZE=true then size of outlined
nodes is considered simply as outline of the trace. This is not correct for serpentine
transistors but they are handled by special code everywhere.
IMP: (5/31/09, DN) Add Technology.ALWAYS_SKIP_WIPED_PINS switch to skip wiped pins
in both electrical and non-electrical modes. Now it is off.
IMP: (5/31/09, DN) Remove "Schematics.busPinNode.setWipeOn1or2();" because
busPinNode has more complicated wipe rules defined by code.
BUG: (5/30/09, DN) NPE while writing XML files for certain techs (Bug #2190)
FIX: Also make method Technology.getXmlTech() safer.
IMP: (5/30/09, DN) Name of Job types might be misleading.
Rename REMOTE_EXAMINE->SERVER_EXAMINE, EXAMINE->CLIENT_EXAMINE .
BUG: (5/28/09, GVG) Field variables not transferred from doIt to terminateOK (Bug #2199)
FIX: Local variable lib was overwriting the class variable. Error introduced in 8.09h (rev 9060).
BUG: (5/28/09, SMR) Smart Text preferences crashes (Bug #2198).
FIX: Converted Smart Text to use interface that allows editing preferences.
WARNING: CHANGES BELOW are out!
<--------------------------------------------------------------------------------
BUG: (5/25/09, DN) FlatArcs regression failed.
FIX: In AbstractShapeBuilder.pushIntLine use addIntPoly instead of AddIntBox.
------------------------------------------------------------------------------------>
BUG: (5/26/09, GVG) NPE in project settings if there is no permission to read a header file (Bug #2194)
FIX: Preventing the NPE in settings. The error will be reported by JelibParser
BUG: (5/26/09, GVG) NPE while writing XML files for certain techs (Bug #2190)
FIX: Detecting when the tech can't be exported to XML (Java-based ones).
IMP: (5/22/09, EY) Multithread Analysis Tools
IMP: (5/22/09, SMR) Added guaranteed ordering in Auto-Stitch and Node Extractor (Bug #2183)
IMP: (5/22/09, GVG) Algorithm to partition complex geometries in Extractor tool (Bug #2193)
IMP: (5/22/09, GVG) Avoiding such long lines in the message window when many cells are reported with problems.
Modifications in input.GDS
BUG: (5/22/09, GVG) schematic2.xml generated by regression can't be read it back (Bug #2188)
FIX: Missing types added into NodeLayerStyle
BUG: (5/22/09, GVG) NPE when changing preferences after an undo (Bug #2191)
FIX: Detecting the case when curLib == null
BUG: (5/20/09, SMR) Node extractor may drop cuts, and auto-router may drop arcs
(Bug #2183).
FIX: Repaired node extractor and auto-router.
BUG: (5/19/09, SMR) Node extractor is confused by multiple cuts in the same
location (Bug #2183).
FIX: Eliminate redundancy.
BUG: (5/19/09, SMR) Arrow keys affect circuitry even when navigating popup menus
(Bug #2187).
FIX: Ignore keystrokes when popup menu is visible.
BUG: (5/19/09, SMR) Auto-stitcher ignores end-extension requests when replacing
an arc (Bug #2183).
FIX: Supply correct end-extension to Route package and let it use the values.
IMP: (5/18/09, GVG) Prompt for technology to use when importing GDS (Bug
#2162) Printing message about the layout tech to use.
BUG: (5/18/09, SMR) Startup warnings about diff layers in well arcs (Bug #2184).
FIX: Allow this special case.
BUG: (5/18/09, SMR) GDS input is using the wrong layer (Bug #2183).
FIX: When an unknown layer is found, subsequent layers must be ignored.
BUG: (5/16/09, DN) Assertion error when descending into instance (Bug #2186)
FIX: In VectorCache.ShapeBuilder.addIntBox clone coordinates array.
BUG: (5/15/09, DN) IN instead of In? Make the difference in User's preferences
(Bug #2185)
FIX: "In" instead of "IN" in GraphicsPreferences.getKeyIn()
IMP: (5/15/09, GVG) Assertion error when descending into instance (Bug #2186)
Extra code to detect the cases.
BUG: (5/15/09, GVG) IN instead of In? Make the difference in User's preferences
(Bug #2185)
FIX: "In" instead of "IN" in PrefPackage.getKey()
IMP: (5/14/09, GVG) TechEditorWizard and well arcs (Bug #2179)
IMP: (5/14/09, SMR) New command to detect undriven networks (Bug #2182).
IMP: (5/14/09, GVG) Prompt for technology to use when importing GDS (Bug #2162)
BUG: (5/14/09, SMR) GDS export allows degenerate shapes (Bug #2180).
FIX: In GDS output, remove common points in polygons and ignore shapes
with less than 3 vertices.
BUG: (5/13/09, SMR) EDIF equivalence do not map onto the current library (Bug
#2178).
FIX: Now handle substitutions in all libraries.
BUG: (5/13/09, SMR) GDS import puts layout in any view of the cell (Bug #2145).
FIX: Force GDS import to use layout cells.
BUG: (5/13/09, SMR) Node extractor creates holes when restoring unextracted
geometry (Bug #2176).
FIX: The extractor now uses a simpler algorithm that does not create holes.
BUG: (5/13/09, DN) NPE while adding spice netlist line (Bug #2177).
FIX: In ToolMenu.MakeTemplate move needCurrentWindow from doIt to constructor.
************************* Version 8.09j: ******************************
IMP: (5/12/09, GVG) CadencePrefs.xml and unable to restore default setup (Bug
#2161). Put in manual a big warning about not recovering previous
preferences.
IMP: (5/12/09, SMR) EDIF input now adds cell names to icons in Cadence mode (Bug
#2160).
BUG: (5/12/09, DN) Assertion error in Technology$NodeLayer.fixup() (Bug #2175).
FIX: In Schemaics.getShapeOfNode use fullRectangle.getWidth() instead of
fullRectangle.getX()
IMP: (5/12/09, SMR) GDS import now creates proper NCC unification messages and
has more concise warnings.
BUG: (5/11/09, DN) Facet center is invisible by default in LayerDrawing (Bug
#2172).
FIX: In LayerVisibility.getDefaultOpacity() set default opacity of
Generic.GlyphLay to 0.1.
BUG: (5/11/09, DN) NMOS and PMOS primitives in the schematic technology are
incorrect (Bug #2172).
FIX: In Schematics.buildTransistorDescription() call NodeLayer.fixup().
BUG: (5/11/09, GVG) NPE in Ctrl-V (Paste) if no cell is available in cantEdit
(Bug #2174)
FIX: Detecting the null cell now and proper message is displayed ("job Paste Text
returned false")
IMP: (5/11/09, GVG) Don't swap elements in TechPalette if node can't be created
(Bug #2173)
BUG: (5/11/09, GVG) Names in techPalette pulldown menus are the same (Bug #2171)
FIX: Only schematic nodes will name from Variables. Reviewed short text in
palette icons as well.
BUG: (5/11/09, GVG) TechEditorWizard and pwell process (Bug #2170)
FIX: SizeOffset values properly calculated now.
BUG: (5/11/09, SMR) Node extraction creates badly-named exports (Bug #2163).
FIX: In node extractor and auto-stitcher, uses sensible names.
BUG: (5/11/09, SMR) Node extraction doesn't find contacts properly (Bug #2164).
FIX: Now use dummy nodes instead of NodeLayer information.
IMP: (5/11/09, DN) Fast path to put simple arc shapes into VectorCache.
IMP: (5/8/09, DN) Points in technology classes are the same as in Xml files.
IMP: (5/8/09, SMR) Copyright section of Network Preferences now in its own
panel (Bug #2168).
IMP: (5/8/09, SMR) Renamed "Project Settings" to be "Project Preferences" and now
call "preferences" the "User Preferences".
IMP: (5/8/09, SMR) EDIF now converts "pPar()" to "@" (Bug #2167).
BUG: (5/8/09, SMR) EDIF input duplicates icons (Bug #2160).
FIX: Ignore the icon if it is already there. Also fixed curves.
IMP: (5/8/09, GVG) Ability in TechEditorWizard to define layers different
patterns (Bug #2169)
BUG: ((5/8/09, SMR) NPE adjusting scroll bars (Bug #2155).
FIX: Catch null pointer in EditWindow.setScrollPositionUnsafe().
BUG: (5/7/09, DN) NPE in VectorCache display if you set generic as startup tech
(Bug #2165)
FIX: In VectorCache.drawNode consider topOnlyShapes.
BUG: (5/7/09, DN) Wrong graphics color in Cadence mode: Of instead of For? (Bug
#2159)
FIX: Parameters of PrefPackage.getKey(String,Layer) explicitly specify For/Of.
BUG: (5/7/09, GVG) Wrong graphics color in Cadence mode: Of instead of For? (Bug
#2159)
FIX: Temporary fix: ColorOfGraphicsINartwork added in Cadence xml.
BUG: (5/7/09, GVG) NPE on EDIF import (Bug #2157)
FIX: Creating the property value when booleans are detected
IMP: (5/7/09, DN) Scalable transistors in mocmos use multicut NodeLayers.
BUG: (5/6/09, DN) Those precision warnings in settings are back (Bug #2156).
FIX: In FileMenu.ReadLibrary.doIt test if double mismatch can be explained by
float rounding.
IMP: (5/2/09, DN) Prepare cvspm to work in two-JVM mode.
BUG: (4/30/09, DN) Can't create new cell parameter (Bug #2153)
FIX: In EObjectOutputStream.EVariableKey.readResolve use newKey instead of
findKey.
BUG: (4/29/09, GVG) Attribute 'size' must appear on element 'menuNodeText' (Bug
#2150)
FIX: use="optional in Technology.xsd
IMP: (4/29/09, SMR) File/Import commands now offer the ability to "merge" the
new library with an existing library. Removed the command "File / Import /
DEF (Design Exchange Format) to current cell..." (Bug #2145).
IMP: (4/29/09, SMR) Text in the component menu is now sized automatically (Bug
#2133). Component menu dialogs no longer have size field.
BUG: (4/29/09, SMR) EDIF import loses schematics (Bug #2147).
FIX: Many different types of EDIF now supported.
IMP: (4/29/09, DN) Add Xml element to define LE settings of technology.
BUG: (4/28/09, GVG) Grid selection buttons shortcuts preferences not working (Bug
#2149)
FIX: Current index fixed in EditingPreferences.withAlignment().
************************* Version 8.09i: ******************************
BUG: (4/27/09, SMR) EDIF input is broken in multiple ways (Bugs #2143 and #2144).
FIX: Total revamp of EDIF reader.
BUG: (4/25/09, DN) Electric doesn't complain about settings conflict between
projsettings.xml and library (Bug #2129).
FIX: In FileMenu.ReadLibrary.doIt add diagnostics.
BUG: (4/24/09, GVG) Value too long in ComponentMenu (Bug #2146)
FIX: Detecting the case when String is longer than Preferences.MAX_VALUE_LENGTH.
Error dialog added.
BUG: (4/24/09, DN) Constructor of ReadLibrary job modifies IdManager in client
thread.
FIX: Move this to ReadProjectSettingsFromLibrary job.
BUG: (4/23/09, DN) Electric first window doesn't start with the default startup
tech (Bug #2142).
FIX: In Main.java move window creation from InitDatabase to InitProjectSettings.
BUG: (4/23/09, SMR) Preferences dialog can appear twice (Bug #2141).
FIX: Show previous one if still active.
BUG: (4/23/09, SMR) Node extractor fails when there is duplicate text (Bug
#2136).
FIX: Find and remove duplicate text...do not fail when minor errors happen.
BUG: (4/23/09, SMR) Error logger doesn't handle "point" errors (Bug #2138).
FIX: Added case to XML reader/writer.
BUG: (4/23/09, SMR) Node extractor points to wrong place when implants
are too large (Bug #2137).
FIX: Scaled coordinates.
BUG: (4/22/09, SMR) Hierarchical node extraction shows confusing progress (Bug
#2136).
FIX: Now show simpler progress indication when extracting hierarchically.
BUG: (4/22/09, DN) VHDL preferences are not saved.
FIX: In GenerateVHDL.VHDLPreferences.putPrefs inverse the condition.
IMP: (4/22/09, DN) Initialize MessagesWindow earlier to see initialization
messages.
BUG: (4/22/09, DN) Project settings are not restored from Preferences.
FIX: Don't save settings to Preferences in UserInterfaceMain.showSnapshot().
Jobs which modify Settings, save them to Preferences in terminateOk()
method.
IMP: (4/21/09, DN) More command-line keys: -logging -socket -pipe -pipedebug.
BUG: (4/21/09, GVG) Problems DRC'ing technologies with no poly gate pure node
(Bug #2134)
FIX: Making sure TechEditorWizard generates technologies with pure poly gate
node.
IMP: (4/20/09, JKG) tweaked behavior of wiring tool - size of arcs from contacts
now determined by size of layer on contact, not contact size
IMP: (4/20/09, GVG) Adding 40nm tech as a XML technology to avoid issues
reported in Bug #2124
IMP: (4/20/09, SMR) Preferences and Project Settings dialog keeps center panel
in the same location (Bug #2101).
IMP: (4/20/09, DN) Regression tests doesn't touch Java Preferences.
IMP: (4/19/09, DN) Regression tests can run in subprocess.
BUG: (4/16/09, SMR) EDIF not reading "unused" and "protectionFrame" keywords (Bug
#2131).
FIX: Handle keywords.
IMP: (4/17/09, GVG) Improve error message when soft tech can't be uploaded (Bug
#2126) Allow to call ActivityLogger.logException(e) always.
************************* Version 8.09h: ******************************
BUG: (4/17/09, DN) UnsupportedOperationException in MessagesStream (Bug #2130).
FIX: Implement write(...) methods of MessagesStream.
IMP: (4/16/09, GVG) Electric will ask users if they want to undo the reading of a
library (Bug #2118)
IMP: (4/15/09, SMR) "Make Alternate Layout View" has controlling dialog that
allows users converting schematics to layout to specify a standard cell
library (Bug #2128).
BUG: (4/15/09, DN) NPE due to null EditorWindow when Waveform is open (Bug #2127)
FIX: In Highligter.checkOutObject int lv from static variable when wnd is null.
BUG: (4/14/09, GVG) NPE if "Failed to save preferences" (Bug #2125)
FIX: Prevent sending errors to MessagesWindow when it is not available. Sending
to System.err
BUG: (4/13/09, DN) Preferences not available during startup of Electric (Bug
#2122).
FIX: In first call of Pref.getValue() int cachedObj by
setCachedObjFromPreferences().
BUG: (4/13/09, DN) Assertion on redraw (Bug #2123).
FIX: In LayerDrawing.copyBits fix asserted condition.
BUG: (4/11/09, GVG) NPE in Measure if no empty is displayed (Bug #2120)
FIX: Detect when no cell is available.
BUG: (4/10/09, DN) Cannot delete the last library (Bug #2119)
FIX: Can close last library again
IMP: (4/8/09, SMR) Added schematic transistor length/width to Multi-Object Properties (Bug #2117).
IMP: (4/8/09, GVG) Added short names in palette elements generated by
TechEditorWizard
IMP: (4/8/09, SMR) Added METALNEG1 and METALNEG2 layer functions (Bug #2037).
IMP: (4/8/09, GVG) Renaming a cell that has an old version (Bug #2116)
FIX: Extra dialog added to check whether the old versions should be renamed too.
BUG: (4/8/09, SMR) Extraction fails to find alternative transistors (Bug #2112).
FIX: Extractor now considers multiple transistor options.
IMP: (4/7/09, DN) Preferences are removed from Technology class.
IMP: (4/7/09, GVG) New transistors and node extractor (Bug #2112)
Changes in TechEditorWizard to accommodate the new transistor well size.
IMP: (4/7/09, SMR) Arrow keys can now be rebound and appear in the
Edit/Move menu (Bug #1450).
BUG: (4/7/09, SMR) Spurious Foundry error messages (Bug #2036).
FIX: Ignore blank foundry names.
BUG: (4/7/09, SMR) External text editing hangs Electric while editing is done
(Bug #1974).
FIX: Run external text editing in a separate thread.
IMP: (4/6/09, SMR) Now able to bind Bean Shell scripts to the Tools/Languages
menu (Bug #2115).
BUG: (4/6/09, SMR) Windows/MDI mode sometimes starts with a blank screen (Bug
#2113).
FIX: In TopLevel.InitializeWindows(), force a resize of the window after startup.
IMP (4/6/09, GVG): Another transistor type to help with the latest technology
extraction.
IMP: (4/3/09, GVG) Pre-defined KeyBindings and alt- (Bug #2006). The conflict
condition is prevented for alt- keybindings associated with menus.
BUG: (4/3/09, DN) VectorDrawing and LayerDrawing doesn't update color after Layer
colors change.
FIX: Don't cache EGraphics information in VectorCache (except graphicsOverride
case).
BUG: (4/2/09, GVG) Assertion in ErrorLogger if info doesn't match with libraries
open (Bug #2111)
FIX: Condition detection after working on Bug #2107
IMP: (4/2/09, GVG) Better highlighting of DRC spacing errors (Bug #2107)
Implemented with key "/". ErrorLogger XML writer improved as well.
BUG: (4/2/09, NP) M factors not working in NCC (Bug #2088)
FIX: Added to mFactor to TransistorSize. Only added by schematic constructor.
NCC netlister multiplies mfactor by width now.
BUG: (4/2/09, SMR) Up Hierarchy doesn't always remember cell history (Bug #2108).
FIX: Save state in all cases.
BUG: (4/1/09, DN) Settings and rounding warnings (Bug #2106)
FIX: In ProjSettings.commit don't print warning when floatValue() are equal.
IMP: (4/1/09, GVG) Changes for "Better highlighting of DRC spacing errors" (Bug
#2107)
BUG: (4/1/09, GVG) TechEditorWizard not assigning correct ports to actives in
transistors (Bug #2109)
FIX: Ports amended and the graphical/electrical flags modified as well.
BUG: (4/1/09, SMR) Extraction of transistors may create implant regions
that are too large (Bug #2105).
FIX: Issue warnings when the implants do not fit.
BUG: (4/1/09, SMR) Technology checking should detect errors in electrical layers
(Bug #2089).
FIX: Now checks electrical layers.
BUG: (4/1/09, SMR) Node extractor should be able to handle cut spacing variations
(Bug #2103).
FIX: Now try all variations.
BUG: (4/1/09, DN) After layer visibility change LayerDrawing algorithms renders
layer bitmaps again.
FIX: Rendering layer bitmaps doesn't filter text on invisible ports at this
phase. Instead it sets "baseNode" on LayerDrawing.XXXTextInf classes.
The phase which composes image from layer bitmaps use this information to
filter text on invisible ports.
BUG: (3/31/09, DN) Empty list and exception in Change dialog (Bug #2104)
FIX: Making sure the highlighter.finished() call is after the highlighting list
is filled.
IMP: (3/31/09, SMR) GDS import now identifies unknown layers (Bug #2099).
IMP: (3/31/09, DN) EGraphics is immutable class.
************************* Version 8.09g: ******************************
BUG: (3/31/09, SMR) Node extractor doesn't rotate subcells properly (Bug #2102).
FIX: Handle orientation in cell flattening code.
BUG: (3/30/09, GVG) Empty list and exception in Change dialog (Bug #2104)
FIX: Making sure the loadInfo call is after the highlighting list is filled.
IMP: (3/30/09, DN) 3D distance and 3D thickness are Settings.
View3DWindow tracks undo/redo of Settings.
IMP: (3/29/09, DN) Use Poly.graphicsOverride in drawing ad printing.
IMP: (3/28/09, DN) Move highlight and visibility Layer state from Technology to a
separate class.
IMP: (3/27/09, DN) Get rid of Layer.newInstanceFree in LayerDrawing.java .
IMP: (3/24/09, SMR) Project Settings dialog merged into Preferences panel (Bug
#2101).
IMP: (2/26/09, SMR) Added Carbon Nanotube layout transistors for Villanova
(new Layer Function extra bit for carbon nanotube type) (Bug #2055).
BUG: (3/25/09, DN) NewArcsTab was incorrect after last modification.
FIX: In NewArcsTab.java .
IMP: (3/24/09, DN) Class Layer is Serializable.
IMP/MAP: (3/24/09, DN) Simplify schematic technology - remove parameter
NegatingBubbleSize.
IMP: (3/24/09, SMR) Project Settings dialog starting to merge into Preferences
panel. CIF Settings transferred (Bug #2101).
IMP: (3/23/09, DN) Artwork arrows are always filled (Bug #2100).
BUG: (3/23/09, DN) Crash after renaming icon Cell.
FIX: In Cell.update make full updatePortInsts after node proto changed.
IMP: (3/23/09, GVG) Missing header in DELIB library (Bug #2093).
Warning is now printed in the messages window as well.
BUG: (3/23/09, SMR) Verilog output merges bus signals (Bug #2098).
FIX: In Verilog.writeCellTopology() write simple busses using correct indices.
BUG: (3/23/09, DN) Settings are not saved to Preferences (Bug #2097).
FIX: In UserInterfaceMain.DatabaseChangeRun.run() add saving Snapshot Settings to
Preferences.
IMP: (3/23/09, SMR) Moved "Schematic Negating Bubble Size" from Preferences
to Project Settings, then removed it completely.
BUG: (3/22/09, DN) Exception in WaveformWindow.preserveSignalOrder.
FIX: Load/save options directly into Preferences subtree without creation of
temporary Pref.
IMP: (3/22/09, DN) Add PrefTest to regressions.
BUG: (3/22/09, DN) New: exception when going up hierarchy in schematics (Bug
#2095).
FIX: In EditWindow.upHierarchy() don't go up if node instance is already killed.
Check if selectedExport belongs to the parent.
BUG: (3/22/09, DN) New: exception when Calibre LVS run completes (Bug #2094).
FIX: In Exec thread set thread-local Environment from launcher Environment.
IMP: (3/21/09, DN) A new way of Pref definitions using Java annotations.
It is demonstrated in SilComp and SiliconCompilerTab modules.
BUG: (3/21/09, DN) ExportGDS_CIFTest.exportGDS_CIF didn't detect resolution
errors.
FIX: Remove "passed=true;"
IMP: (3/20/09, DN) Convert preferences Artwork.FillArrays and
Schematics.InvertingBubblesSize to Settings.
BUG: (3/20/09, DN) FastHenry regression accesses Preferences.
FIX: Output.getOutputPreferences() fills with current Settings and either factory
or current Prefs.
IMP: (3/19/09, SMR) Want to customize metal layers in contact nodes (bug #2045)
IMP: (3/19/09, SMR) First version of "[Technologies should be checked for
sensibility" (bug #2089)
************************* Version 8.09f: ******************************
BUG: (3/19/09, SMR) Node extractor may fail and leave incomplete extraction
with only minor warnings (Bug #2086).
FIX: Notify user when extraction has failed.
IMP: (3/19/09, DN) Thread-local ClientEnvironment object to explicitly represent
default primitive sizes.
BUG: (3/19/09, SMR) When a new layout transistor that has a "well" or "body"
port is used, and that port is connected, the Spice output ignores the
connection and substitutes VDD or GND (Bug #2087).
FIX: Use proper bias connection in Spice.writeCellTopology().
BUG: (3/19/09, SMR) Extraction tool replaces DRC exclusion layer by SR_DPO (Bug
#2084)
FIX: Fixed node extraction so that unusual layers (like DRC) will be preserved
BUG: (3/18/09, SMR) "Change" doesn't show all port errors, just the first (Bug
#2083).
FIX: In NodeInst.replace(), collect all errors and print them when done.
IMP: (3/18/09, DN) Fix Spice writer to new preferences schema.
IMP: (3/17/09, GVG) TechEditorWizard issues reported in Bug #2078.
IMP: (3/17/09, SMR) Restructured output tool to package preferences for server.
BUG: (3/16/09, SMR) Transistor extraction fails if there is just one active layer
(Bug #2078).
FIX: Reorder examination of layers so that single active layer is detected.
IMP: (3/16/09, DN) Remove unnecessary saving of Prefs and Settings in
ExportGDS_CIFTest.
IMP: (3/16/09, DN) Factory menu of technology is stored as Xml.MenuPalette.
IMP: (3/15/09, DN) LibraryFiles.readLibrary doesn't try to access CellModelPrefs
on server side. They are filled on client side in
FileMenu.ReadLibrary.terminateOk .
BUG: (3/15/09, DN) MultiDRC regression failed because tech.getResolution() was
factory default.
FIX: In DRCToolTest.basicMTDRCTest explicitly set resolution to 0.0 .
IMP: (3/14/09, DN) Loading technology options from Java Preferences is moved to
GUI thread
BUG: (3/15/09, SMR) "Cell" component menu item may be too long and does not
scroll (Bug #2082).
FIX: Now use the same code for scrollable popup menus as the "Pure" component
menu.
IMP: (3/14/09, DN) Boolean pref values are stored as Boolean (was Integer).
IMP: (3/13/09, DN) Technology preferences are preallocated in tech constructor.
BUG: (3/13/09, DN) Default artwork color broken again (Bug #2069).
FIX: Remove User.ColorPrefType.ARTWORK because it conflicts with
Artwork.defaultLayer.colorPref
IMP: (3/12/09, DN) Enable thread local Settings.
BUG: (3/12/09, DN) Electric doesn't start if a soft XML tech is not available
(Bug #2076)
FIX: Don't attempt to create EditWindow in UserInterfaceMain.showErrorMessage .
BUG: (3/12/09, JKG) Bug 2074: connect 45 degree arcs together
FIX: In InteractiveRouter, add special case code for overlapping arcs
BUG: (3/12/09, SMR) Asking for "properties" when a node and a piece of text are
selected brings up two dialogs (Bug #2072).
FIX: Now uses the multi-object dialog.
IMP: (3/12/09, SMR) New command: File / Import / Spice Decks reads Spice decks
(Bug #2077).
BUG: (3/12/09, DN) Compilation failure with Xml.MenuPalette.menuBoxes.
FIX: Declare it as List<List<?>>
IMP: (3/12/09, DN) Environment is thread local.
IMP: (3/12/09, DN) In tech Xml <primitiveNodeGroup> element doesn't require name.
IMP: (3/11/09, SMR) When moving objects that have nonmanhattan wires on
them, the Control key grids to the appropriate angle (Bug #2072).
BUG: (3/11/09, GVG) Should be able to save and restore list of DRCTemplates
unchanged (Bug #2051) and Few issues with XML and DRC rules (Bug #2017)
FIX: Removed part where UCONSPA2D were duplicated
BUG: (3/11/09, SMR) Node extraction does not handle temporary names right (Bug
#2075).
FIX: Ignore temporary names when copying unextracted objects.
BUG: (3/11/09, SMR) Node extraction fails because of tiny polygons in
polygon merge system (Bug #2073).
FIX: In PolyBase.getLoopsFromArea() ignore zero-size polygons.
BUG: (3/11/09, DN) MultiDRC crashes in regressions (Bug #2057).
FIX: Preallocate DRC rules before starting Tasks.
IMP: (3/10/09, DN) Snapshot contains Project Settings and Technology State.
************************* Version 8.09e: ******************************
IMP: (3/9/09, GVG) Enable/Disable of remove button in AddTech Preference
IMP: (3/9/09, SMR) Fixed command to list unused library files on disk (Bug
#2038).
IMP: (3/9/09, SMR) Added CIF output scaling factor to project settings (Bug
#2071).
BUG: (3/9/09, SMR) Array command doesn't remember edge overlap distance (Bug
#2067).
FIX: Remember just this option, but don't remember other spacing metrics
because they are determined by the selection.
BUG: (3/9/09, SMR) Array command makes two or 3 copies of each node (Bug #2067).
FIX: Use a Set instead of a List so that nodes are scheduled for copying only
once.
IMP: (3/6/09, GVG) Changes for "default artwork color broken again (Bug #2069)".
It is not fixed yet.
IMP: (3/5/09, DN) Enhance Xml element <primitiveNodeGroup> to define transisistor
groups. Use it in "mocmos.xml". Rename transistor ports in "mocmos.xml".
************************* Version 8.09d: ******************************
IMP: (3/4/09, DN) Add <nodeLayer> element to Xml technology syntax.
BUG: (3/4/09, GVG) Wrong cut and port names in poly contacts defined by the new
series. Detected by Bug #2060
FIX: Xml.Layer and names are correct now
IMP: (3/4/09, SMR) Node Extractor has preference to flatten Pcells (Bug #2061).
BUG: (3/4/09, SMR) Node extractor creates wide diffusion arcs that overshoot
the transistor (Bug #2059).
FIX: When such arcs are created, turn off their end-extension.
BUG: (3/3/09, SMR) GDS import may rename duplicate exports to conflict with
an existing export (Bug #2058).
FIX: Scan all exports to ensure conflict resolution doesn't cause confusion.
BUG: (3/3/09, SMR) Node extraction fails when two multicut contacts are close
(Bug #2053).
FIX: Ensure there is metal covering all of the cuts before considering them.
IMP: (3/1/09, DN) mocmos and tsmc180 technologies are defined by patched Xml
files.
IMP: (3/1/09, DN) Define pureLayerNodes in CMOS90 in the same order as Layers.
IMP: (2/28/09, DN) In TechnologyTest test also for xml resizing.
BUG: (2/28/09, DN) Central Transistor-Gate electrical NodeLayer in mocmos
serpentine transistor has incorrect serpentine bExtent and tExtent.
FIX: Change from 2 to 0.
IMP: (2/28/09, DN) Contact control alignment (centered/spread to edges/packed
into a corner) is controlled by Variable "CUT_alignment" instead of techBits
(Bug #2014).
BUG: (2/27/09, DN) Database regression failed in Schematic.xml.
FIX: Add Carbon Nanotube PrimitiveNodeFunctions to Technology.xsd .
BUG: (2/26/09, DN) GNU release crashes on "tsmc180.xml".
FIX: In TechFactory.r check if URL exists.
IMP: (2/26/09, SMR) Added Carbon Nanotube schematic transistors for Villanova
(new PrimitiveNodeProto.Function and a new Schematic transistor symbol) (Bug
#2055).
IMP: (2/26/09, DN) Class PrimitiveNodeGroup.
IMP: (2/25/09, GVG) TechEditorWizard creates an empty Misc menu (Bug #2054)
IMP: (2/24/09, GVG) Must XML File match with Tech Name? (Bug #2050)
BUG: (2/24/09, DN) New: Can't open library - infinite NullPointerExceptions (#Bug
2052).
FIX: In Layer.graphicsChanged() check if the Layer is free.
IMP: (2/24/09, SMR) The layer visibility configurations now understand the
SHIFT-# commands and incorporate them uniformly. The default "visibility
configurations" list in the Layers Tab shows the 10 SHIFT-# entries.
You can change the visible layers associated with any of the SHIFT-# entries
and can even rename them. You can also add your own visibility
configuration entries, but they won't be bindable to Quick Keys (only the
SHIFT-# entries, already bound to a quick key, can be rebound).
BUG: (2/23/09, DN) MoCMOS transistors are not properly resized in SCMOS ruleset.
FIX: Add rule "24.3 Mosis" to Mosis180DRCDeck.xml. Fix
MoCMOS.makeFactoryDesignRules().
BUG: (2/23/09, GVG) NPE while reading XML tech (Bug #2049)
FIX: Store tech name in a temp variable.
************************* Version 8.09c: ******************************
BUG: (2/20/09, DN) Extra Layer function DEEP is not saved in Xml.
FIX: Add DEEP to PrimitiveNode.getExtraName/parseExtraName .
IMP: (2/19/09, SMR) Measurement mode preserves measurements when reentering the
mode. Now have Windows / Measurements commands to control it. Text is
shifted to be more readable (Bug #2026).
IMP: (2/18/09, DN) Move call of CMOS90.loadNodesInPalette from "setState" method
to constructor. The unused nodes are cleaned later by
Technology.filterNodeGroups .
IMP: (2/18/09, DN) TechFactory.
BUG: (2/18/09, DN) Some technologies initialize nodeGroups instead of
factoryNodeGroups.
FIX: Use factoryNodeGroups in CMOS90.java, EFIDO.java, FPGA.java, GEM.java,
PCB.java, RCMOS.java .
BUG: (2/17/09, SMR) Node extraction should not ignore tiny polygons by default
(Bug #2046).
FIX: In Network preferences, polygon ignoring is a checkbox.
BUG: (2/16/09, SMR) Hierarchical node extraction not working (Bug #2048).
FIX: Implant analysis was not working hierarchically, causing incorrect implant
assumptions.
BUG: (2/15/06, DN) The MinSizeRule of "cmos90:A-Metal-1-N-Active-Con" and
"cmos90:A-Metal-1-P-Active-Con" is different in TSMC and ST foundries though
NoedLayers are the same.
FIX: In ST90DRCDeck.xml add A-Metal-1-N-Active-Con and A-Metal-1-P-Active-Con to
NODSIZ rule. Change numbers in DRCToolTest.basicPrimitiveTest.
IMP: (2/14/09, DN) ProjSettings class is a mirror of "projsettings.xml".
It keeps values, not Settings. Setting logic moved to other classes.
BUG: (2/14/09, DN) TechnologyTest.main doesn't return proper exit code.
FIX: In TechnologyTest.main set good = true on success.
IMP: (2/12/09, DN) Partial commit from twoJvm branch: Technology.loadTechParams .
IMP: (2/12/09, DN) Partial commit from twoJvm branch: Setting.Group .
IMP: (2/12/09, DN) Initial implementation of via customization (variant B) (Bug
#2045).
BUG: (2/11/09, DN) The initial implementation of via customization broke
getShapeOfNode() for tcms180:N-Poly-RPO-Resistor
FIX: In Technology protect changes by TESTSURROUNDOVERRIDE = false (Bug #2045).
IMP: (2/11/09, SMR) Initial implementation of via customization (Bug #2045).
IMP: (2/11/09, DN) Move graphics preferences from EGraphics to Layer.
IMP: (2/10/09, DN) Partial commit from twoJvm branch. Tool Settings are
initialized in a special module before initialization of Tools.
BUG: (2/9/09, SMR) When a multi-cut contact is extracted, does not handle
if the resulting node is rotated (Bug #2043).
FIX: Transform cut polygons before considering them.
BUG: (2/9/09, SMR) When a multi-screen Windows system has its main window
on a different screen than the main one, the "Window / Adjust Position"
commands do not work (Bug #2044).
FIX: Offset the monitor locations.
IMP: (2/6/09, GVG) Get Array tool working on arcs and their pins (Bug #2041).
Implemented by adding the arc pins into the nodes list.
IMP: (2/6/09, GVG) Adding menu to xml file generated with the Tech Editor Wizard
(Bug #2042)
BUG: (2/6/09, GVG) Exception on array command with arcs (Bug#2040)
FIX: Passing the parent cell instead of getting from the nodes list.
BUG: (2/6/09, GVG) Guarantee that GDS values are properly parsed from TechEditor
txt file (Bug #2010)
FIX: Implemented the latest request "X/Y,Zp,Wt".
BUG: (2/5/09, GVG) Can't write XML from Tech editor (Bug #2035)
FIX: Undoing fix for Bug #2000 and avoiding the cast expectation. Error message
is printed now.
IMP: (2/5/09, SMR) Added command to list unused library files on disk (Bug
#2038).
BUG: (2/4/09, DN) New: Exception when creating a new technology (Bug #2034).
FIX: Temporarily disable check of state in Setting constructor.
BUG: (2/3/09, SMR) Want to create primitive nodes with no ports (Bug #2033).
FIX: Technology.computeShapeOfNode() now allows this.
BUG: (2/3/09, SMR) Node extractor doesn't handle large contacts without
"approximate cut placement" set (Bug #2032).
FIX: Extractor now tries to do exact placement of large contacts.
BUG: (2/03/09, DN) NPE in Network tool undoing Node extraction task plus (Bug
#2030).
FIX: In NetworkTool.getNetlist check if Cell is linked.
BUG: (1/30/09, DN) Meaning option SoftTechnologies is created as Pref.
FIX: Convert Pref to Setting.
BUG: (1/30/09, DN) Electric is not reading User's and Project Preferences!! (Bug
#2029).
FIX: Add setCachedObjFromPreferences() in Pref constructor.
BUG: (1/30/09, DN) User.playSound is called from "doIt" methods.
FIX: Wrap this method in UserInterface.beep.
BUG: (1/30/09, DN) ELIB reader crashes on empty arc name (Bug #2027).
FIX: In ArcInst constructor use checkNameKey(nameKey, topology).
BUG: (1/29/09, DN) Library readers replace nameDescriptors of arcs by smart text
descriptor calculated from current Prefs.
FIX: Move call of ArcInst.getSmartTextDescriptor to upper constructor which is
not called from library readers.
BUG: (1/29/09, DN) Each instance of Artwork.defaultLayer creates a new Pref
object.
FIX: Add method Layer.isFree(). Don't allocate Prefs for free Layers.
IMP: (1/29/09, DN) Some Prefs can be used in server Jobs, other are client only.
Server Prefs are created by special factory methods during Electric
initialization. Current list of Server Prefs:
TextDescriptor.cacheBits
TextDescriptor.cacheColor
TextDescriptor.cacheFont
PrimitiveNode.defaultExtendX
PrimitiveNode.defaultExtendY
ArcProto.defaultExtend
ArcProto.BitPrefs
CVS.CVSEnable
CVS.CVSProgram
CVS.CVSRepository
CVS.CVSLastCommitMessage
User.SmartHorizontalPlacementExport
User.SmartVerticalPlacementExport
User.ErrorLimit
User.PlaceCellCenter
BUG: (1/29/09, DN) Initialization of 3D info for CMOS90.mdLayer used undefined
viaDLayer.getDepth().
FIX: Dummy 3D info for CMOS90.viaDLayer .
IMP: (1/29/09, DN) Check against late initialization of preferences.
BUG: (1/28/09, SMR) Interactive sizing does not respect grid (Bug #2025).
FIX: Grid align new sizes.
IMP: (1/28/09, SMR) Contact nodes can now customize their cut placement by
requesting that the cuts be centered (the current way and the default),
be spread to the edges, or be packed into a corner. They can also override
the cut spacing. These controls are available in the Node Properties dialog
(Bug #2014).
BUG: (1/28/09, SMR) Changes made in the "multi-object" Properties dialog crash
(Bug #2024).
FIX: Made GetInfoMulti.MultiChange a static class.
BUG: (1/27/09, SMR) Setting reconciliation complains when values are vanishingly
close (Bug #2022).
FIX: In GenMath.objectsReallyEqual(), accept floating-point values that are
close. In OptionReconcile.java, compare for "closeness" and display
formatted floating point.
************************* Version 8.09b: ******************************
IMP: (1/27/09, JG) New fat wiring mode in wiring tool by default.
IMP: (1/26/09, GVG) TechEditor supports pin and text now (bug #2009)
IMP: (1/26/09, SMR) Interactive sizing now shows handles on sides
and corners of nodes (Bug #2021).
BUG: (1/26/09, SMR) Antenna Check loops forever (Bug #2020).
FIX: In ERCAntenna.followNode() must load AntennaObject before testing
it for duplication.
IMP: (1/23/09, JG) new routing, fat wiring mode.
IMP: (1/22/09, GVG) Better support of GDS info in XML tech reader (Bug #2019)
IMP: (1/21/09, GVG) Can't Artwork tech be saved as XML? (Bug #2000).
Schematics and Artwork can't be exported as XML technologies.
IMP: (1/21/09, GVG) TechEditor should at least support datatypes in GDS
definition (Bug #2009)
IMP: (1/21/09, SMR) Added ability to convert unknown GDS layers
into random Electric layers (Bug #2018).
BUG: (1/21/09, SMR) Calls to Library.findNodeProto() with no view given may
return an old version (Bug #1990).
FIX: Ensure the latest version is returned.
IMP: (1/21/09, SMR) "Array" dialog is now modeless and has "Draw" button
to let users drag an area for the array (Bug #1890).
BUG: (1/20, 09, GVG) Incorrect export of DRC decks (Bug #2004)
FIX: Extra code added for special XML characters.
BUG: (1/20/09, GVG) Avoid the NPE in single boxes defining vias in contact (Bug
#2012)
FIX: Detecting contact nodes that don't have multi cuts
BUG: (1/20/09, SMR) GDS output that is "merged" is incorrect (Bug #2016).
FIX: Switched from the Java-based Polygon Merge algorithm to the Sweep mode of
GeometryHandler. Polygons are not as dense, but are correct for G
IMP: (1/20/09, SMR) When wiring with the right-button, holding Control
disables connection to existing circuitry (Bug #2013).
BUG: (1/20/09, SMR) Deleting Spice primitives causes crash (Bug #2015).
FIX: In CircuitChangeJobs.eraseObjectsInList(), arcs that are reconnected
to cell instances should not be considered for deletion.
BUG: (1/16/09, RK) Make NCC's incremental mode work correctly: don't
recheck cells unless they've changed (Bug #1380)
BUG: (1/16/09, RK) Fix NCC regressions (Bug #2011)
BUG: (1/15/09, RK) NCC Second attempt at making NCC detect the same
topological errors when run with or without size checking.
(Bug #1787)
IMP: (1/15/09, RK) NCC uses tolerances specified in "Size checking"
section of NCC preferences pane in order to decide when
transistor widths and lengths are close enough to combine in
series / parallel. (Bug #1980)
IMP: (1/14/09, GVG) First version of TechEditorWizard generating protection polys
in transistors.
IMP: (1/14/09, SMR) Units preferences now works for distance units
and includes a "scalable" option to use internal grid units (Bug #2007).
BUG: (1/14/09, RK) REALLY push back body check additions to NCC
regressions. (Discovered because regression 090114 failed.)
BUG: (1/14/09, SMR) Bias ports on transistors cause Spice netlister to fail (Bug
#2005).
FIX: Ignore nulls when examining bias information.
IMP: (1/13/09, RK) I got rid of the dialog box that pops up after
NCC completes with no errors. (Bug #1859)
BUG: (1/13/09, GVG) Out of Bounds exception while switching units in Tech
preference (Bug #2002)
FIX: Detecting "NONE" scale.
IMP: (1/13/09, RK) I removed the backwards compatibility hack in NCC that
infers NodeProto.Function from the name of the PrimitiveNode.
This removed the references to TRANMOS and TRAPMOS in NCC. However
to do this, I needed to update the Technologies: MoCMOS and CMOS90
so they correctly use the new NodeProto.Functions. (Bug #1858)
BUG: (1/13/09, SMR) Pad Frame generator fails if core cell has a view (Bug
#2001).
FIX: In PadGenerator.createPadFrame(), do not append view if one exists.
IMP: (1/13/09, RK) I changed the implementation of
Technology.getTransistorBiasPort(NodeInst ni) so that MoCMOS
transistors also return the body contact. I changed the MoCMOS
constructor to allow well arcs to attach to well contacts. I added
an option to NCC to enable the checking of body contacts. I changed
NCC so it optionally checks body contacts. I added body checking
tests to NCC regression. I added body checking documentation to
the NCC user's manual. (Bug #1910)
IMP: (1/12/09, GVG) Fixing TechEditorWizard regression due to year change.
Expected data included 2008.
************************* Version 8.09a: ******************************
IMP: (1/11/09, GVG) Improvements for "Message not useful if XML parsing error is
detected" (Bug #1998)
BUG: (1/11/09, GVG) NPE in Tech Specific -> Write XML of current tech (Bug #1999)
FIX: Warning the case and preventing the NPE.
IMP: (1/5/09, SMR) Now remember (in Prefs) the Explorer-tree setting to evaluate
numbers when sorting cell names (Bug #1981).
BUG: (1/5/09, SMR) Wiring an arc to a contact uses incorrect arc width (Bug
#1995).
FIX: In Router.findArcWidthToUse(), when no other arcs are present on a
primitive, compute width based on difference between current and default
size.
IMP: (1/5/09, SMR) Added LTSpice raw output reader (Bug #1996).
BUG: (12/23/08, SMR) GDS Project Settings should make it clear
how to ignore a layer (Bug #1994).
FIX: Updated dialog and user's manual.
BUG: (12/23/08, SMR) GDS input always warns about array references.
FIX: In input.GDS.importALibrary(), start "arraySimplificationUseful" at false.
BUG: (12/19/08, GVG) Cannot load libraries (Bug #1992)
FIX: Adding extra code to detect invalid delib libraries.
IMP: (12/18/08, GVG) Improvements in Output-based classes to detect errors and
warnings during the writing process (Bug #1937)
************************* END OF Version 8.08, GNU RELEASE *************
************************* Version 8.08o: ******************************
IMP: (12/16/08, GVG) Regression for new fill generator. Now all fill generation tests also compare
output wit expected data.
BUG: (12/15/08, GVG) No such element exception if export name is not found (Bug #1989)
FIX: Detecting if arcs do actually have exports on their networks.
IMP: (12/12/08, GVG) Ability to call the new fill and use data found in open window (Bug #1985)
IMP: (12/12/08, GVG) Changes to debug "3 Spice regressions broken after bug#1969" (Bug #1986)
BUG: (12/10/08, GVG) NPE in CVS Add or GetStatus on a new library (Bug #1984)
FIX: Detecting when lib.getLibFile() is null.
IMP: (12/10/08, SMR) Converted standard cell marking in "Cell Properties" dialog
to use the new standard cell marking method (a displayable variable) (Bug #1983).
BUG: (12/11/08, GVG) Can't copy and paste a text attribute associated to an art arc? (Bug #1977)
FIX: Extra code to copy/past ARC_NAME, NODE_NAME and EXPORT_NAME since they
are not longer stored as variables.
BUG: (12/10/08, GVG) Assertion in new fill generator (Bug #1920)
FIX: More fixes for those zig-zag cases in the ico fill.
BUG: (12/9/08, GVG) Explain why GDS can't be imported using a particular set of User's preferences (Bug #1968)
FIX: Messages related to renaming of instances are stored as warnings instead of errors.
BUG: (12/9/08, GVG) Editing text externally doesn't remove the tmp file (Bug #1975)
FIX: Using standard File.createTempFile to create tmp files.
IMP: (12/9/08, GVG) Forbidden DRC rules names should be shown in ErrorLogger (bug #1982)
BUG: (12/9/08, SMR) Spice preference "Use Node Names" is useless (Bug #1969).
FIX: This preference actually controls the use of global signals in
subcircuit headers, so it has been renamed "Make Globals Parameters".
************************* Version 8.08n: ******************************
IMP: (12/8/08, GVG) Add corresponding native thick contacts to CMOS90 (Bug #1972)
BUG: (12/8/08, SMR) Spice preference "Force Global Power and Ground" is broken
(Bug #1969).
FIX: Spice preference "Force Global Power and Ground" is now
"Use Global Power and Ground" and controls the activity better.
BUG: (12/7/08, DN) Inconsistent database after doc cell is renamed (Bug #1976)
FIX: In User.fixStaleCellReferences apply cell rename to every WindowContents.
IMP: (12/5/08, GVG) Slow DRC in ColChip top cell (Bug #1950)
FIX: Improvements related to arc cropping with a huge number of pins in the design.
BUG: (12/5/08, GVG) Can't GetInfo light-blue text (Bug #1979)
FIX: Arrays.binarySearch doesn't work on non-sorted arrays (see documentation).
BUG: (12/4/08, SMR) Spice deck generation crashes with Proximity-based RC (Bug #1781)
FIX: Spice deck generation based on Proximity-based RC removed.
BUG: (12/4/08, SMR) The Schematic primitive "transistor", when placed in a
circuit, cannot have its L and W parameterized using regular Spice
parameters (Bug #1978).
FIX: In Spice.writeCellTopology() handle parameters when writing transistors.
IMP: (12/2/08, SMR) GDS import now has Preference for setting level of
array simplification (Bug #1901).
BUG: (12/2/08, SMR) GDS import does not handle arrays of simple cells
right when they have outline information (Bug #1901).
FIX: Ignore expansion of subcells if they have outline information.
BUG: (12/3/08, GVG) Modifying working directory path in case of selection text
editor binary (Bug #1973)
FIX: Use chooseInputFile function with setSelectedDirAsWorkingDir=false.
BUG: (12/3/08, GVG) Wide/long errors detected by Electric but not by Calibre (Bug
#1961)
FIX: Better detection of parallel runs of metals.
BUG: (12/3/08, SMR) Verilog netlister should merge schematic signals when they
are parts of the same bus (Bug #1963).
FIX: In Topology.doGetNetworks(), no longer insist that exports be the same in
order to unify a bus.
BUG: (12/3/08, GVG) Assertion in new fill generator (Bug #1960)
FIX: Improved the generator to deal with zig-zag conditions.
IMP: (12/3/08, SMR) Dummy layers now appear at the bottom of the Layers tab (Bug
#1946).
IMP: (12/3/08, SMR) The "Text Search" dialog has been augmented to let
you restrict the text search to specific Code and Unit values (Bug #1519).
IMP: (12/3/08, SMR) The "Show Network" command now shows networks on
all arcs connected to a cell instance if that instance is selected
but NO ports are selected (Bug #1902).
IMP: (12/2/08, GVG) Show GDS import errors in ErrorLogger (Bug #1971)
BUG: (12/2/08, GVG) NPE in GDS import if non-GDS file is uploaded (Bug #1970)
FIX: Avoid to print cell name if cell hasn't been created yet.
It also deals with errors while importing EDIF, LEF, DEF, DXF, SUE, Verilog
and Applicon when a non-<format> file is uploaded. Improving error message
for ELIB and readable dump.
IMP: (12/2/08, GVG) Resetting invalid text names in GDS import. Changes are meant
for Bug #1968.
BUG: (12/2/08, SMR) GDS import does not handle arrays of simple cells
right when they are rotated (Bug #1901).
FIX: Transform cell contents before adding it to the large polygon.
IMP: (12/2/08, SMR) Added the layer to the "Manipulate Exports" dialog (Bug
#1967).
BUG: (12/2/08, SMR) Want movement and deletion reported in the messages window
(Bug #1917).
FIX: Report movement and deletion.
IMP: (12/1/08, GVG) Object selected in "Select Object" dialog is not visible (Bug
#1964)
************************* Version 8.08m: ******************************
BUG: (12/1/08, GVG) Well resistors having wrong dummy layer (part of Bug #1956)
FIX: nwdmyLvsLayer is the layer chosen this time.
BUG: (12/1/08, SMR) "Down Hierarchy In Place To Object" should list just one
object per context (Bug #1930).
FIX: In CellMenu.downHierInPlaceToObject(), eliminate duplicate choices.
BUG: (11/29/08, RK) cmos90.java appears to assign RESPWELL and RESNWELL
functions to RPO Poly Resistor-Node whereas I think it should assign
RESPPOLY and RESNPOLY functions. I fixed cmos90.java but I don't
understand what to do with Technology.xsd. (Bug# 1959)
BUG: (11/26/08, GVG) Upgrading definition of well and poly resistors in TSMC
technologies (Bug#1953)
FIX: Changed Technology.xsd so it will request the proper p/n well/poly resistors
in the XML definition.
IMP: (11/26/08, GVG) Well resistors in CMOS90 (Bug #1956)
BUG: (11/26/08, SMR) Upgrading schematic poly-resistors to more detailed
resistors: p-poly, n-poly, p-well, and n-well (Bug #1953).
FIX: Changed Schematics to draw them (well resistors have box around them,
P/N distinction done with a letter). Updated Spice output and Netlister.
BUG: (11/25/08, GVG) NPE while doing copy or paste (Bug #1955)
FIX: Make Dimension2D serializable.
IMP: (11/25/08, GVG) Disconnecting more another spacing D-N-Well rule in CMOS90
because of Bug#1942.
BUG: (11/25/08, SMR) When GDS text objects are too small, import of them
gives many errors about "relative size".
FIX: In tool.io.input.gds.readText(), clamp "size" to
"TextDescriptor.Size.TXTMINQGRID"
IMP: (11/25/08, SMR) Now have separate X and Y grid alignment values (Bug #1952).
BUG: (11/25/08, SMR) Move "GDS Input Scale" from preferences to project
settings (Bug #1948).
FIX: Moved it and made it a Setting.
BUG: (11/20/08, SMR) Pure-layer node popup order is bad (Bug #1948).
FIX: Show well first, then substrate, by category, then art, then the rest.
BUG: (11/24/08, GVG) DRC takes a long time to abort in Bug #1950 example (Bug
#1951)
FIX: More checkAbort conditions in the code.
IMP: (11/24/08, GVG) First version of tiles in new fill generator.
IMP: (11/21/08, GVG) Ability of keep cell instances in new fill generator.
BUG: (11/20/08, SMR) Pure-layer node popup should handle arrows and key
selection, and show wells and dummys near the top (Bug #1948).
FIX: Rewrote TechPalette.PurePopup class to be a full dialog.
IMP: (11/19/08, SMR) Outlines can now use null values to separate multiple
polygons (Bug #1901).
BUG: (11/19/08, SMR) Arrayed nodes generate incorrect VHDL (Bug #1947).
FIX: Rewrote GenerateVHDL.java and refactored it to
com.sun.electric.tool.io.output.
IMP: (11/18/08, SMR) Made DXF input read all layers by default.
************************* Version 8.08l: ******************************
BUG: (11/13/08, DN) Cant delete cell and reuse name in same Job (Bug #1944).
FIX: In Layout.newCellInfo remove assert.
IMP: (11/13/08, GVG) New fill generator available in Tools menu -> Generator
submenu
IMP: (11/12/08, GVG) Deep well rule temporary out from CMOS90 until Bug #1942 is
clarified.
BUG: (11/11/08, GVG) java.lang.error in DRC of invLSLT_X50_v12 (Bug #1941)
FIX: Deep n well needed a different function to distinguish itself from the
nwell.
IMP: (11/10/08, SMR) Changed "Tool" menu to "Tools" (Bug #1940).
IMP: (11/10/08, DN) If flag Technology.IMMUTABLE_TECHS is true then changes
in Technology settings take effect only after Electric restart.
IMP: (11/9/08, DN) ExportTests use separate "projsettings_TECHNAME.xml" files.
IMP: (11/9/08, DN) Add method GDS.writeGDSFile with explicit writeExportPins and
convertBracketsInExports flags.
IMP: (11/7/08, GVG) New fill generator that stitches set of given pieces of metal
geometries.
BUG: (11/6/08, GVG) Annoying component menu changes (Bug #1935)
FIX: Adding missing listener to view list to enable/disable technology option in
NewCell dialog.
BUG: (11/6/08, SMR) Errors section of Explorer tree collapses when the database
changes (Bug #1898).
FIX: In ErrorLoggerTree.ErrorLoggerTreeNode.databaseChanged(), use new class
ExplorerTree.KeepTreeExpansion to remember expansion state.
BUG: (11/5/08, SMR) Crossprobing doesn't work (Bug #1939).
FIX: Improved WaveformWindow.crossProbeWaveformToEditWindow()
(crossprobing from waveform window to edit window)
Improved WaveformWindow.findSelectedSignals()
(crossprobing from edit window to waveform window)
IMP: (11/5/08, GVG) First version of new fill generator.
IMP: (11/5/08, SMR) When deleting a cell instance and reconstructing arcs and
exports, those reconstructed objects are left highlighted (Bug #1936).
IMP: (11/5/08, SMR) Changed the default grid amounts to 0.5, 1, 5, 10, and 20
(Bug #1801).
BUG: (11/5/08, SMR) Spice templates do not handle single elements in a bus (Bug
#1938).
FIX: In Spice.replacePortsAndVars(), handle bus elements.
BUG: (11/5/08, SMR) Now that Electric is case-sensitive, Spice decks
may have name conflicts, since Spice is case insensitive (Bug #1811).
FIX: Topology.java now handles case insensitivity for Spice.
BUG: (11/5/08, SMR) Factory reset of layers panel doesn't reset special colors
(Bug #1744).
FIX: Fixed LayersTab.reset() to reset special colors.
BUG: (11/4/08, DN) Electric failes to mark a library for saving (Bug #1934)
FIX: In Snapshot.withRenamedIds mark "modified" LibraryBackups.
IMP: (11/2/08, GVG) Pwell process and gate orientation in Tech Creation Wizard
(Bug #1933).
IMP: (11/2/08, DN) Preferences related to Technology are moved to special
Pref.Group.
************************* Version 8.08k: ******************************
BUG: (10/30/08, GVG) Shift-0 (layer display) broken (Bug #1932)
FIX: Update also for shift-0
IMP: (10/30/08, GVG) Tech Creation Wizard can generate pwell technologies.
It can also generate well arcs (Bug #1910)
BUG: (10/30/08, GVG) XML tech reader can't parse new ArcProto Function WELL (Bug
#1931)
FIX: Technology.xsd amended.
IMP: (10/30/08, DN) CellBackup.techPool contains only technologies used in the
Cell.
IMP: (10/29/08, SMR) Added a disambiguation popup to "Down Hierarchy in Place to
Object" (Bug #1930).
BUG: (10/29/08, SMR) Auto-stitching doesn't handle daisy-chains right (Bug
#1927).
FIX: Special code in AutoStitch.java now handles daisy-chains.
BUG: (10/29/08, DN) CVS update from Electric doesn't work (Bug #1795).
FIX: In Library.removeReferencedLib call backupReferenceLibs to propagate
changes from Library.referencedLibs to LibraryBackup.referencedLibs.
In NodeInst.replace don't create new arc if "newPortInst" is not defined.
BUG: (10/28/08, RK): NCC regressions need repair because Electric is
becoming case sensitive.
IMP (10/27/08, SMR): Making not possible to place down a cell on itself due
to circular dependencies.
BUG (10/27/08, SMR): The "Pure" component menu item can be too big and needs to
scroll (Bug #1926).
FIX: In TechPalette.mouseReleased(), use a JComboBox popup.
IMP (10/27/08, SMR): GDS input now has a scale factor (Bug #1928).
BUG: (10/27/08, SMR) GDS reads incorrectly (Bug #1928).
FIX: Handle array references correctly.
BUG: (10/23/08, DN) Cells should be case-sensitive (Bug #1811).
FIX: Remove "equalsCaseSensitive" from many places.
Fix arc names in TechTypeCMOS90 .
IMP: (10/22/08, SMR) Tabbing through the fields in the dialogs
now selects the entire field (Bug #1916).
IMP: (10/22/08, SMR) Made well ports "hard to select" (Bug #1910).
BUG: (10/22/08, SMR) Node extractor is slow (Bug #1778) and doesn't abort (Bug
#1925).
FIX: Added R-trees to auto-stitcher to speed it up. Added more abort detection.
IMP: (10/21/08, JKG) Added layer functions for dummy and dummy exclusion layers
IMP: (10/21/08, GVG) New implementation of "different highlight color for
network" (Bug #1776). White is the default color. Assuming it fixed bug
#1924.
IMP: (10/21/08, SMR) Added generalized transistor function detection to "L" and
Verilog (Bug #1858).
IMP: (10/20/08, GVG) Electric doesn't use old names information in case of
JELIB/DELIB (Bug #1922)
FIX: Modification done in JELIB that also fixes DELIB. No need of a more
sophisticated solution for now.
IMP: (10/17/08, GVG) Well ports added into MoCMOS transistors and pure well nodes
(part of Bug #1910)
BUG: (10/17/08, GVG) Pure Well nodes connecting to wrong arcs (Bug #1923)
FIX: Correct well arc selected now. NOTW: TSMC180 nodes will connect to well taps
arcs until pure well arcs are defined in that technology (to be discussed).
IMP: (10/16/08, GVG) Well arcs added into CMOS90 (part of Bug #1910)
IMP: (10/15/08, SMR) Tabbing through the fields in the Node Properties dialog
now selects the entire field (Bug #1916).
************************* Version 8.08j: ******************************
BUG: (10/14/08, SMR) Cross-library copy doesn't always copy subcells
if there is a naming conflict (Bug #1920).
FIX: In CellChangeJobs.copyRecursively() track cell naming conflicts and
add a parameter to Cell.copyNodeProto() which uses the map properly.
BUG: (10/14/08, SMR) Verilog netlister is sensitive to ascending/descending
busses preference (Bug #1919).
FIX: Improved Topology.java to evaluate bus directionality correctly.
BUG: (10/13/08, SMR) Crash deleting export when editing down-in-place (Bug
#1913).
FIX: In Clipboard.getPasteBounds(), use proper object for a displayed object.
BUG: (10/13/08, SMR) "Duplicate Cell" dialog makes it hard to remove the default
"NEW" appended to the cell name (Bug #1915).
FIX: The "NEW" is initially highlighted, making it easy to replace.
IMP: (10/13/08, SMR) Added multi-processor speed-up to "ERC Well Check" (Bug
#1899).
BUG: (10/13/08, SMR) Well arcs are not drawn at some scales (Bug #1911).
FIX: In VectorCache.drawArc(), do not treat well layers specially when they are
the only layer in an arc.
IMP: (10/13/08, DN) EPoint[] variables can't contain nulls (Bug #1901).
FIX: In database.Variable and in readers/writers.
BUG: (10/10/08, GVG) DRC of a cell should also check the
upper levels where the current cell is used (Bug #1907)
FIX: DRC select option picks selected objects instead of using bounding box.
BUG: (10/11/08, DN) Cannot change cell view (Bug #1880).
FIX: Don't clear technology of cell in ViewChanges.ChangeCellView.doIt().
BUG: (10/10/08, DN) 3D preferences are always reset to factory values on new
session.
FIX: In Layer.setFactory3DInfo don't change current values of preferences.
BUG: (10/10/08, DN) Can't specify more layer functions (Bug #1903).
FIX: Implement Layer.Function.Set by BitSet instead of long.
BUG: (10/8/08, GVG) Writing Spice file on Mac OS 10.5 uses ":"
as delimiter instead of "/" (Bug #1877)
FIX: Use the Swing mode in OpenDialog under MacOSX.
IMP: (10/8/08, GVG) Well arcs added into MoCMOS (part of Bug #1910)
BUG: (10/8/08, RK) NCC runs slow on fastprox (Bug #1908).
FIX: Fixed performance bugs that become evident when instances have
46,000 Exports.
************************* Version 8.08i: ******************************
BUG: (10/7/08, SMR) ERC regressions fail and crash (Bug #1905).
FIX: Fixed dependency on user interface and updated expected results.
BUG: (10/7/08, SMR) "List Cell Usage" ignores arrayed icons (Bug #1906).
FIX: In CellLists.listCellUsageCommand(), consider icon arrays.
IMP: (10/3/08, SMR) GDS import cannot handle volume of data (Bug #1901).
FIX: Modified the definition of Outline information: if a coordinate in the
list is duplicated, then this indicates a "break" in the Outline, and
a new polygon starts with the next coordinate. This allows multiple
disjoint rectangles to be stuffed into a single Outline array.
GDS import uses this for arrays of simple cells (with just 1 pure-layer
node).
BUG: (10/3/08, RK) NCC changed to use new transistor functions. (Bug #1858)
BUG: (10/2/08, SMR) "Change" dialog pops-up error dialogs when certain
selections are made (Bug #1900).
FIX: In Change.loadInfo() send better messages to Messages window.
IMP: (10/2/08) You can now right-click on an error group or on multiple
error messages in the Explorer tree and choose "Show All" to highlight
them (Bug #1889).
IMP: (10/2/08, SMR) Schematic DRC now checks that instance names don't
conflict with network names (Bug #1895).
IMP: (10/2/08, SMR) Schematic DRC errors now group by cell name (Bug #1893).
IMP: (10/2/08, SMR) Sped up "ERC Well Check" significantly (Bug #1899).
IMP: (10/1/08, SMR) Added "Reverse video" option in "Layout Text" (Bug #1894).
IMP: (9/29/08, GVG) Remove ErrorLogger entries with zero Calibre errors (Bug
#1888)
BUG: (9/25/08, GVG) Tech Wizard doesn't write GDS values properly in XML (Bug
#1887)
FIX: Functionality added
IMP: (9/25/08, GVG) Making LE available as AbstractTest (Bug #1828)
BUG: (9/25/08, SMR) "Select All" selects invisible objects (Bug #1843).
FIX: Disable selection of primitive nodes or arcs that have no visible layers
(already does this with click selection, now does it with "Select All").
Also added "Selection" Preference to allow selection of invisible objects.
IMP: (9/25/08, SMR) Changing an export characteristic applys the change to
other views of the cell (Bug #1868).
BUG: (9/25/08, SMR) GDS input crashes if no pure-layer node found (Bug #1886).
FIX: In GDS.setLayer(), handle missing pure-layer nodes.
************************* Version 8.08h: ******************************
BUG: (9/24/08, GVG) Can't find wiring target (Bug #1884)
FIX: Undoing "Incorrect placement of p-contact (Bug #1871)" because broke wiring
target finding.
BUG: (9/24/08, GVG) Delectable bug (Bug #1885)
FIX: Typo fixed
IMP: (9/24/08, SMR) Rearranging layers, nodes, or arcs in the technology editor
uses a drag-and-drop interface.
BUG: (9/24/08, GVG) DRC min size broken for asymmetric cmos90 contacts (Bug
#1881)
FIX: Asymmetric min rules amended as well.
BUG: (9/23/08, GVG) DRC fails on serpentine transistors (Bug #1857)
FIX: Working with PrimitiveNodeSize and baseRectangle values now for case (1).
Case (2) got fix with #1873.
BUG: (9/23/08, SMR) Spice templates in icons cause crash if there is a schematic
(Bug #1879).
FIX: Handle Spice template at any place.
BUG: (9/22/08, SMR) Selecting a port on a Generic primitive shows a
long list of possible arc connections (Bug #1878).
FIX: For Generic primitives, report connectivity simply as "ALL".
IMP: (9/22/08, SMR) Serpentine transistors now emit a single complex polygon
for every layer (instead of breaking it up into mulitple segments, Bug
#1873).
BUG: (9/22/08, GVG) Incorrect placement of p-contact (Bug #1871)
FIX: Skip startPort if it is available in the middle of the path. That should
avoid infinite loops.
BUG: (9/22/08, SMR) Node Properties may spend too long listing ports (Bug #1874).
FIX: Node Properties now lists only the first 100 ports (or bus members)
and has a "Show All" button to request the entire list.
BUG: (9/22/08, GVG) Infinite loop on transistor width casting (Bug #1875)
FIX: Transistors with ExpressionCode can properly return values now.
IMP: (9/20/08, GVG) Error logger set index for stepping (Bug #1869).
Next and previous will be with respect to the last ErrorLogger highlighted.
BUG: (9/18/08, GVG) Change in cells cases null point exception (Bug #1872)
FIX: Checking if all selected highlighted belong to the same Geometry class.
BUG: (9/18/08, SMR) Updates to the toolbar do not draw immediately (Bug #1870).
FIX: In ToolBar.redoToolbar() call updateUI().
BUG: (9/18/08, GVG) Transistor sizes wrong with mocmos/SCMOS conditions (Bug
#1863)
FIX: Resizing of left and right edges in MoCMOS transistor primitive was missing
so default was always picked.
IMP: (9/18/08, SMR) Preferences dialog is now modeless. Also has
"reset" for current panel and "reset all" for all panels.
Individual preferences panels no longer have private
"factory reset" buttons: "Toolbar", "Layers", "Design Rules",
"Component Menu", "Key Bindings" (Bug #1867).
BUG: (9/18/08, SMR) "Up Hierarchy, Keep Focus" still not working right (Bug
#1814).
FIX: Handled many special cases.
BUG: (9/18/08, GVG) Wrong 3D view of serpentine transistors (Bug #1862)
FIX: Stop merging of active regions if transistor is a serpentine.
BUG: (9/18/08, SMR) Manual viewer crashes if manual cannot be found (Bug #1865).
FIX: Initialize field variables before issuing missing manual error.
BUG: (9/18/08, GVG) Can't bind ctrl/alt/command <option> on MacOSX (Bug #1802)
FIX: Special detection of special keys on MacOSX Leopard
BUG: (9/17/08, GVG) Error Logger Import of XML doesn't seems highlight area (Bug
#1864)
FIX: Wrong condition for cell object.
IMP: (9/17/08, SMR) Generalized specific transistor function usage
and now use PrimitiveNode.Function methods to distinguish N-type and
P-type transistors (Bug #1858).
IMP: (9/17/08, GVG) Serpentine transistors incomplete for getTransistorSize? (Bug
#1861) Commenting out the line. The message is even available in 8.03p.
BUG: (9/17/08, SMR) Serpentine transistors with both field and gate poly
emit both layers in the poly area (Bug #1857).
FIX: Fixed Technology.SerpentineTrans class to handle serpentine transistors
better.
BUG: (9/17/08, GVG) NPE in GetInfoOutliner if click is in a 3D view window (Bug
#1860)
FIX: Detect if the EditWindow.getCurrent() is not null (eg. if the click is on a
3D window).
BUG: (9/17/08, SMR) Serpentine transistors don't display the proper size in the
status bar (Bug #1857).
FIX: Use advanced size information for transistors.
************************* Version 8.08g: ******************************
BUG: (9/16/08, GVG) Exception in 3D view if transistors have only 1 poly (Bug
#1856)
FIX: Introduced while fixing #1839. It is fixed now.
IMP: (9/16/08, SMR) Added accelerators to Sun menu (Bug #1852).
BUG: (9/16/08, SMR) New transistor types are hard to select (Bug #1855).
FIX: In Highlighter.distToNode(), make special selection code apply to
all Field-effect transistors.
BUG: (9/16/08, SMR) FPGA technology repeaters are invisible by default (Bug
#1848).
FIX: Made default be visible.
BUG: (9/16/08, SMR) Technology editor computes port sizes incorrectly (Bug
#1854).
FIX: In LibToTech.getBoundingBox(), used incorrect bounding computation.
BUG: (9/16/08, SMR) Saved toolbar configuration may have errors (Bug #1851).
FIX: When errors are found, factory-reset the toolbar.
IMP: (9/16/08, GVG) Disable grid buttons on the tool bar if min/max has been
reached (Bug #1847)
BUG: (9/16/08, DN) Exception in layer display algorithm (Bug #1846).
FIX: Encapsulate rendering result in class LayerDrawing.DrawingData for atomic
update.
IMP: (9/15/08, GVG) Poly down for STI (Bug #1839) A reviewed version of 3D view
for transistors. Only when field poly!=gate poly the LoCos shape is
generated. 3D preferences were updated in layout techs to start with flat
representation (STI shape).
BUG: (9/15/08, SMR) Going up hierarchy "keeping focus" when already
descended down the hierarchy "in place" fails (Bug #1814).
FIX: If descended "in place" ignore "keep focus" when going up.
BUG: (9/15/08, SMR) Going up hierarchy when there is only one choice does
not redisplay (Bug #1844).
FIX: In EditWindow.upHierarchy(), must fill screen after setting new cell.
IMP: (09/15/08, FYL) Added exclusion of .nfs* in build.xml
IMP: (9/12/08, GVG) Export layer information shown on status bar (Bug #1830)
Same behavior for ports on cells.
BUG: (9/12/08, GVG) Explorer cell group drop on layout will drop a doc if exists
(Bug #1842)
FIX: Detecting doc view and ignoring it.
BUG: (9/12/08, GVG) Exception in FillCellGen if master cell doesn't have gnd/vdd
exports
FIX: Condition detected and code doesn't continue.
BUG: (9/11/08, SMR) New text objects in a cell are not selectable (Bug #1841).
FIX: In EditWindow.databaseChanged() clear text highlight cache (created by Bug
#1804).
IMP: (9/10/08, GVG) Move option for Cells in ExplorerTree (Bug #1764).
Indeed, it is a copy option to be consistent with the drag way to copy.
It is a copy to avoid circular dependencies.
BUG: (9/10/08, SMR) "Select Object" dialog crashes (Bug #1840).
FIX: Trap empty sets in KeyBindingManager.KeyMaps constructor.
BUG: (9/10/08, SMR) Layers tab doesn't list generic technology (Bug #1838).
FIX: Used to show it only in debug mode, now show it always.
************************* Version 8.08f: ******************************
IMP: (9/10/08, SMR) New command: "Up Hierarchy, Keep Focus" goes up
the hierarchy while keeping the cell in the same place (Bug #1814).
BUG: (9/10/08, SMR) Grid alignment doesn't fix outline-edited nodes (Bug #1833).
FIX: In CircuitChangeJobs.AlignObjects.doIt(), handle nodes with outlines.
BUG: (9/10/08, SMR) Mouse-over highlighting of text is slow (Bug #1804).
FIX: Optimized highlighting of text by caching it in an R-Tree.
IMP: (9/9/08, GVG) Disable CVS rollback if you haven't saved the library (Bug
#1836). Manual: only cells/libraries whose status!=NONE && status!=UNKNOWN
can be rolled-back.
IMP: (9/9/08, GVG) Prework to fix "electric adding explicit gnd port to
schematics" (Bug #1823)
IMP: (9/9/08, GVG) CVS update generates 1 Electric Job (Bug #1835).
Indeed, it is the CVS status that is waiting. Message improved to avoid the
confusion.
IMP: (9/9/08, SMR) Status bar now shows arcs that selected export can connect to
(Bug #1830).
BUG: (9/9/08, SMR) Conversion of library to technology fails if component menu
items are unknown (Bug #1831).
FIX: Give warnings when menu items are unknown.
BUG: (9/9/08, DN) "Factory reset" command sets zero default sizes in Artwork.
FIX: Add methods PrimitiveNode.getFactoryDefaultGridExtendX/Y and
ArcProto.getFactoryDefaultGridExtendOverMin .
BUG: (9/8/08, DN) VerilogTest3 is broken because exported nets got private names.
FIX: In NetlistImpl.addUserName correctly init "exportedCount".
BUG: (9/8/08, GVG) MTD DRC code is not for schematics (Bug #1829)
FIX: MTD code is only valid for layout.
BUG: (9/8/08, SMR) Changes to Units preferences are not remembered correctly (Bug
#1827).
FIX: Handle offsets correctly.
BUG: (9/8/08, SMR) Some high-voltage transistors are called "high threshold" (Bug
#1826).
FIX: Fixed PrimitiveNode.java.
BUG: (9/8/08, SMR) Technology editor gives errors when precision is slightly off.
Also, Export Properties shows too much precision (Bug #1825).
FIX: Made technology editor less precision-sensitive and did similar rounding
In the Export Properties dialog.
BUG: (8/27/08, DN) Severe performance degradation in ListGeometryOnNetwork (Bug
#1804).
FIX: Add method to Network API Netlist.getNetwork(Nodable no, Network subNetwork)
and use it in HierarchyEnumerator.getNetworkInParent().
BUG: (9/5/08, GVG) DRC should report arcs that are not vertical nor horizontal
(Bug #1824)
FIX: Arc is tested before checking geometries per layer.
IMP: (9/4/08, GVG) Avoid flat arcs in AutoStitch in case of ports are the same
points. (Bug #1815)
BUG: (9/4/08, SMR) Technologies with text on the well or substrate nodes
have that text out of scale with other contacts (Bug #1822).
FIX: In PrimitiveNode.getGroupFunction(), have Well and Substrate nodes
grouped with contacts.
BUG: (9/4/08, SMR) Technology editor crashes when there are two pure-layer
nodes for the same layer (Bug #1821).
FIX: In TechToLib.makeNodeInfo(), change assertion to a warning.
BUG: (9/4/08, SMR) Cannot connect Port objects in technology editor (Bug #1820).
FIX: Allow generic arcs to be routed if they connect generic nodes.
************************* Version 8.08e: ******************************
BUG: (9/3/08, SMR) External Text Editor setting in "Text" preferences
corrupts the value and cannot be cleared (Bug #1819).
FIX: Fixed corruption and added "Clear" button.
IMP: (9/03/08, DN) Don't recompute CellBackup.Memoization in constraint system.
BUG: (9/03/08, DN) Exception caused by a move (Bug #1817).
FIX: In LayerDrawing.drawGrid and PixelDrawing.drawGrid check for "x >= sz.width"
and "y >= sz.height".
IMP: (9/02/08, DN) Get read of most calls of "Cell.getArc(int arcIndex)" because
of future change in its implementation for (Bug #1804).
IMP: (9/02/08, DN) Add method "boolean ArcInst.isZeroLength()" which can be
useful for (Bug #1798).
IMP: (8/27/08, SMR) Fixes to grid alignment toolbar buttons (Bug #1801).
BUG: (8/29/08, GVG) Get List of Geometry task doesn't abort (Bug #1816)
FIX: parentJob was not included in LayerVisitor constructor signature.
IMP: (8/28/08, SMR) Started implementation of global "factory reset".
"Reset" button works only in debug mode, and those panels which
cannot do reset issue error messages (Bug #1744).
IMP: (8/28/08, SMR) Global text scale now applies to individual windows.
"Text" Preferences lets you set both the default text scale for
new windows as well as the current scale for the current window (Bug #1810).
IMP: (8/28/08, SMR) Multi-object Properties dialog on Annotation text
now lets you move the text location (Bug #1789).
IMP: (8/28/08, SMR) Alt-S now brings up the Sun menu, not Steve (Bug #1813).
BUG: (8/28/08, SMR) When editing "in place" database is updated with
each keystroke (Bug #1410).
FIX: Now update database only when text editing is done.
BUG: (8/27/08, GVG) mocmos as unknown technology? (Bug #1806).
FIX: Indeed the errors are due to unknown primitives. Message changed
BUG: (8/27/08, SMR) Technology libraries crash if there is no default foundry
information (Bug #1812).
FIX: In Foundry.Type.valueOf(), handle null entries.
IMP: (8/27/08, SMR) Grid alignment now has 5 steps in menu and preferences.
New commands to increase/decrease grid size are now in toolbar.
Current grid alignment is shown in toolbar. (Bug #1801).
BUG: (8/27/08, GVG) DRC should not complain about zero lengths. (Bug #1798)
FIX: Flat arcs/nodes without being covered by other elements are reported as
errors. NOTE: Only 1 extra point is used for the scanning and that might
not be sufficient.
BUG: (8/27/08, SMR) Cell history buttons are the same in each window (Bug #1753).
FIX: Made these buttons be window-specific.
BUG: (8/27/08, DN) Arc shortening is broken.
FIX: In AbstractShapeBuilder.computeExtension correctly scale vectors.
BUG: (8/27/08, DN) Severe performance degradation modifying cells with many arcs
(Bug #1804).
FIX: Remove field "ArcInst.arcIndex" to save on its recalculation.
IMP: (8/26/08, SMR) Cleaned up boxing warnings.
************************* Version 8.08d: ******************************
BUG: (8/26/08, SMR) "Edit Schematic View" still doesn't fill the new window (Bug
#1731).
FIX: In ViewMenu.editView(), call setCellWindow() after creating window.
IMP: (8/25/08, SMR) Renamed the command to switch to Cadence mode so that
it indicates that it changes layers as well (Bug #1761).
IMP: (8/25/08, SMR) Added new transistor types in the Schematic component
menu (Bug #1807).
IMP: (8/25/08, SMR) Made Export menu commands "Summarize Exports",
"List Exports", and "Follow Export Up Hierarchy" run in separate
threads (Bug #1807).
BUG: (8/25/08, SMR) Cell history buttons don't work right in SDI mode (Bug
#1753).
FIX: In WindowFrame.WindowsEvents.windowActivated() must call
fireCellHistoryStatus().
BUG: (8/25/08, SMR) Dragging a cell group from the explorer tree to a window
shows no outline (Bug #1809).
FIX: In EditWindow, when dropping, handle cell groups.
IMP: (8/25/08, SMR) Added one more new transistor node function:
FG (floating gate).
IMP: (8/22/08, DN) Rename technology.xml.Xml807 to technology.xml.XmlParam for
less confusing. Save technology.Xml revision released in Version 8.07 as
technology.xml.Xml807.
BUG: (8/21/08, JKG) fix bug for Verilog Cells that start with numbers
IMP: (8/21/08, GVG) Get number of arcs in a cell (Bug #1804)
Added in "List of Nodes/Arcs in this cell" option in CellMenu.
IMP: (8/21/08, SMR) Added ability to re-export ports only for those
ports that are already wired. Rearranged Export menu so that the
three commands which do exporting have sub-menus to choose which
types of exports to wire (Bug #1769).
IMP: (8/21/08, SMR) Added new transistor node functions:
pMOS-D (there was already a "DMOS" but that becomes "nMOS-D")
NT (native)
VTL/VTH (threshold low/high)
HV1/2/3 (high voltage at three levels)
NTHV1/2/3 (native high voltage at three levels)
BUG: (8/21/08, SMR) Highlighting a network doesn't identify export text (Bug
#1803).
FIX: In NetworkHighlighter.addNetworkObjects(), show exports properly.
IMP: (8/21/08, SMR) Added "Window / Waveform Window / Clear All Signals in
Waveform Window" to remove all panels from the waveform window (Bug #1768).
IMP: (8/21/08, SMR) "Cell Parameters" dialog now lets you copy parameters
from another cell (Bug #1652).
BUG: (8/20/08, SMR) "Insert Jog In Arc" doesn't select a jog port, just a node
(Bug #1800).
FIX: In EditMenu.InsertJogInArcListener.terminateOK(), highlight the port, not
the node.
IMP: (8/20/08, SMR) Modified the "Up Hierarchy" command on schematics to scan the
cell group of the schematic for ALL icons, and then look for parent
environments of all of these icons. Also, schematic DRC now finds export
characteristic mismatches between icons and schematics (Bug #1799).
IMP: (8/20/08, SMR) Middle mouse button now pans the screen (Bug #1715).
************************* Version 8.08c: ******************************
IMP: (8/19/08, SMR) Spice deck generation now uses 3 digits of precision
to the right of the decimal point (used to use 2 or 3 in different places).
Requested by Tela Inc.
IMP: (8/19/08, SMR) Added icon generation improvements from Brian van Essen
at University of Washington. Now have the ability to disable the placement
of an example icon, and also now have the ability to place ports exactly
as they appear in the schematic.
BUG: (8/19/08, SMR) Undoing cell creation doesn't update window titles (Bug
#1766).
FIX: In EditWindow.databaseChanged(), rewrite window titles.
IMP: (8/18/08, SMR) Waveform window extrapolates values for digital simulators
(but still not for analog ones, Bug #1645).
IMP: (8/18/08, SMR) Improved proximity-based RC in Spice parasitics (Bug #1781).
BUG: (8/18/08, SMR) "Node" properties dialog doesn't highlight name
when first displayed (Bug #1775).
FIX: In GetInfoNode.showDialog(), request focus on name field.
IMP: (8/18/08, SMR) "Spread" dialog now remembers settings (Bug #1797).
BUG: (8/18/08, SMR) Text on arcs uses the size in effect when the arc was
created (Bug #1799).
FIX: In ArcInst.setName(), take a new default if the name didn't previously
exist.
BUG: (8/18/08, SMR) Creating exports on schematics doesn't copy characteristics
to the icon (Bug #1799).
FIX: Created Export.newInstance() variant with a PortCharacteristic on it and
use this in creating the icon export.
BUG: (8/8/08, RK) NCC errors not detected when size checking is enabled.
(Bug #1787)
FIX: Some cells can't be compared with size_ checking because the size varies
with the instance. In that case perform a comparison without size checking.
BUG: (8/1/08, GVG) NPE while reading
Routing/data/expected/MazeRouting1Result.jelib (Bug #1793)
FIX: Check if version is valid.
BUG: (7/31/08, GVG) Electric doesn't check colors can be out of range (Bug #1792)
FIX: Detect the case now in EGraphics.
IMP: (7/31/08, GVG) Switch transistor display from LOCOS to STI (Bug #1747)
BUG: (7/31/08, GVG) Assertion while loading DRC rules (Bug #1790)
FIX: Any foundry created while reading a XML tech should have mode >=1000000
IMP: (7/31/08, GVG) different highlight color for network (Bug #1776).
Implemented using MOUSEOVER_HIGHLIGHT color.
IMP: (7/31/08, FYL) LibraryFiles.java readLibrary defaults to .jelib extension if
extension is not given. Added another fallback to .delib extension.
BUG: (7/31/08, DN) Assertion boundsDirty == BOUNDS_CORRECT in Cell.undo failed
(Bug #1699).
FIX: In Cell.computeBounds() explicitely call getBounds() for all subcell.
IMP: Renaming Y-metal contacts in CMOS90 with "SR" instead of "Y". SR stands for
square recommended.
BUG: (7/30/08, SMR) Empty pattern warnings in Tech Editor
FIX: Set outliner after the pattern is defined.
BUG: (7/29/08, GVG) Standard Technology Editor and transparency layers (Bug
#1783)
FIX: Picking the right layer name from the variable name.
BUG: (7/29/08, GVG) Exception with unknown foundry (Bug #1782)
FIX: Change Type from enumerator to Class so it can accommodate new foundries.
Technology.xsd was modified.
************************* Version 8.08b: ******************************
BUG: (7/28/08, GVG) Exception while click on "See" in GetNodeInst if no ports are
available (Bug #1784)
FIX: Detecting if list index == -1.
BUG: (7/28/08, FYL) Pasting of long geometries while locking a direction (Bug
#1748)
FIX: Change in getDelta s.t. if mouse in X (or Y) object shadow then move is
confined to X (or Y) otherwise follows previous 45 degree rule.
BUG: (7/24/08, GVG) ErrorLogger crashes if cellId is null (Bug #1780)
FIX: Detecting the case in doing MessageLog.getCell()
IMP: (7/24/08, GVG) Min active contacts in CMOS90. Min well contacts were
refactored to meet similar conditions as in the active case.
IMP: (7/24/08, GVG) RouteElementArc adds a Generic universal arc if width is
zero. Change done for the NodeExtraction tool.
IMP: (7/24/08, GVG) NodeExtraction: Ability to interrupt the job (Bug #1779)
IMP: (7/23/08, GVG) Allow to combine contacts extracted with and without
approximateCuts on. Higher priority to multi-cut contacts (approximateCuts
on).
IMP: (7/23/08, GVG) ErrorLogger for extraction tool? (Bug #1772)
IMP: (7/23/08, GVG) Select all like this doesn't work on annotation text? (Bug
#1773)
IMP: (7/22/08, GVG) No default font/color available in Multi-Object dialog (Bug
#1774)
IMP: (7/22/08, GVG) Adding min poly contact in CMOS90
IMP: (7/17/08, GVG) Move option for Cells in ExplorerTree (Bug #1764).
IMP: (7/17/08, GVG) Min well contacts in CMOS90.
BUG: (7/16/08, GVG) Import User's preferences missing a palette refresh (Bug
#1762)
FIX: Consistent call of UserInferface.repaintAllWindows(), function that also
takes care of palettes and tabs.
IMP: (7/16/08, GVG) Display cell where MessageLog was found (Bug #1763)
BUG: (7/15/08, GVG) Ctrl-B for resizing does not lock properly on direction (Bug
#1758)
FIX: Detecting X or Y conditions and avoiding to snap the corresponding
coordinates.
BUG: (7/15/08, GVG) Can't get out of Ctrl-B mode (Bug #1760)
FIX: Not allowing to store SizeListener as oldlistener.
BUG: (7/15/08, GVG) NotSerializableException while resizing a node with ctrl-b
(Bug #1759)
FIX: Detecting cases when objects are not longer linked.
BUG: (7/14/08, GVG) Exception while deleting illegal item in MultiObject dialog
(Bug #1757)
FIX: Case detected.
BUG: (7/14/08, GVG) CB active/well contacts don't stretch properly if size
increases in TSMC180 (Bug #1756)
FIX: klx="0.0" khx="0.0" kly="0.0" khy="0.0 removed from XML file.
FIX: Select in NT-N-Active contact also modified (it didn't min select extension
from active)
IMP: (7/11/08, GVG) Add 3D cylinders so rcmos can be properly displayed (Bug
#1554)
************************* Version 8.08a: ******************************
IMP: (7/9/08, GVG) Sending Activity logs to std out if permission is denied (Bug
#1702)
IMP: (7/9/08, GVG) Changes to improve XML error message (Bug #1692)
IMP: (7/9/08, GVG) Selecting lightgray instead of orange for those
CVSstatus == unknown (Bug #1754)
BUG: (7/9/08, GVG) Verilog netlist parser missing a case (Bug #1717)
FIX: Dealing with no name in element instances.
IMP: (7/8/08, GVG) Close/Open all below here in Errors explorerTree (Bug #1752).
Also fix problems with exporting warningLogs not associated to any given
Cell.
IMP: (7/8/08, GVG) Adding rules reported in "DRC not caught by electric" (Bug
#1746)
IMP: (7/7/08, GVG) Calibre number of errors on Error logger (Bug #1749)
IMP: (7/7/08, JG) Added recently opened libs menu in Electric
IMP: (7/3/08, GVG) Speeding DRC code by caching multicut info in a different way.
BUG: (7/2/08, GVG) Notch in select not detected in CMOS90 (Bug #1745)
FIX: Distance A-B in checkMinDefects can be zero.
IMP: precision issues in some DRC functions noticed by running code on MacOSX and
Linux.
IMP: (7/1/08, GVG) Primitive.CROSSCONTACT and PrimitveNode.ALIGNEDCONTACT bits to
work on Bug #1740.
IMP: (7/1/08, GVG) Sort layers in 3D preference by layers names. This is
a change to implementation done for bug #1723 but it looks for intuitive.
BUG: (7/1/08, GVG) 3D preferences not persistent? (Bug #1724)
FIX: Layer.setFactory3DInfo was setting the cacheObject instead of the
factoryObject.
BUG: (7/1/08, GVG) Bogus DRC error in CMOS90 m1m2 (Bug #1737)
FIX: Allow asymmetric node size rules.
IMP: (7/1/08, JG) snap annular ring points to technology grid.
Add recently opened libs list. fix for custom sweeps for sequential arcs in
SCTiming.
BUG: (7/1/08, GVG) DRC should report both node min.size errors if they are
present (Bug#1741)
FIX: New strategy to check those errors.
BUG: (7/1/08, SMR) Rotated multi-line text is wrong (Bug #1729).
FIX: In ElectricObject.getPolyList() do not rotate multiline node text.
BUG: (6/30/08, SMR) Icons are invisible against a black background (Bug #1738).
FIX: Created new Special color (in "Layers" preferences) with the name
"DEFAULT ARTWORK". Use this value in Artwork.getProperLayer().
BUG: (6/30/08, SMR) "Cross-library copy" fails if "Use Existing Subcells" is
checked and ports do not match (#1736).
FIX: Improved Cell:copyNodeProtoUsingMapping() to handle differences.
BUG: (6/30/08, SMR) Verilog cell names are inconsistent (Bug #1719).
FIX: Cleaned-up cell naming code.
IMP: (6/30/08, SMR) Improved Spice parasitics (from Mahesh Balasubramanian, Bug
#1735).
IMP: (6/30/08, SMR) Added Verilog architecture parameterization facility
(from Brian Van Essen, University of Washington, Bug #1734).
Added "Verilog" preferences to choose formatting, standard cell handling,
and parameter control.
Added "Tool / Verilog / Set Verilog Default Parameter"
Added "Verilog Parameter" and "Verilog External Code" to "Misc" component
menu. Can now highlight Electric objects with specified color.
IMP: (6/30/08, SMR) Added input for Applicon/860 format files (Bug #1733).
************************* END OF Version 8.07, GNU RELEASE *************
************************* Version 8.07m: ******************************
BUG: (6/27/08, GVG) A-type of active contacts don't stretch properly if size increases (bug #1732)
FIX: M1 of those A-type contacts was aligned with respect to the center of the node.
IMP: (6/27/08, SMR) Made "mipsCells" library have default number of metal layers.
IMP: (6/27/08, SMR) DXF output now shows all technology polygons, not just artwork.
IMP: (6/27/08, JG) menu commands to run fill generator for 90nm
IMP: (6/26/08, GVG) Native active contact added in cmos90.
BUG: (6/26/08, GVG) cmos90 NT-N surround of active components incorrect (Bug #1727)
FIX: Value modified.
BUG: (6/26/08, SMR) Cells don't always fill the window (Bug #1730, #1731).
FIX: In EditWindow.getBoundsInWindow(), set scale properly, iterate twice.
BUG: (6/26/08, SMR) GDS output may crash on Artwork primitives (Bug #1728).
FIX: Handle null graphics information caused by Artwork auto-generation of layers.
IMP: (6/25/08, SMR) Tutorial about Technology Editor Wizard (Bug #1617)
IMP: (6/25/08, JG) dummy metal layers in 90.
************************* Version 8.07l: ******************************
IMP: (6/24/08, JG) layers for bumps in 90
BUG: (6/23/08, GVG) Exception in DRC due to layer.index() = -1 (Bug #1726)
FIX: Detecting non-layout nodes.
IMP: (6/23/08, SMR) Using "Object Properties" when nothing is selected gives
an error instead of bringing up "Parameters" dialog.
Removed Edit menu commands "See/Hide All Attributes on Node" and
"Default Attribute Visibility".
BUG: (6/23/08, GVG) Sort layers in 3D preference by their Z values (Bug #1723)
FIX: Changed from LayerHeight to LayerZValueSorting.
BUG: (6/23/08, GVG) Out of bounds exception in DRC layout with schematic primitive (Bug #1725)
FIX: In MinArea, not detecting cases where NodeInsts are non-layout nodes.
IMP: (6/20/08, GVG) More improvements in TechEditorWizard but still one label is missing in GUI.
BUG: (6/19/08, SMR) HSpice output files not read properly (Bug #1721).
FIX: Sweep headers have "ConditionCount-1" values in them.
BUG: (6/19/08, SMR) Component menu preferences trouble (Bug #1720).
FIX: In TechPalette.rotateTransistor(), preserve node rotation.
BUG: (6/19/08, GVG) Possible wrong 3D values for second poly in mocmos (Bug #1722)
FIX: Indeed, poly2 in MoCMOS is fine (above poly1). Fixing tsmc180.xml file to be consistent.
IMP: (6/18/08, GVG) Improves in TechEditorWizard including better handling of errors in txt file
and layers with a null pattern.
IMP: (6/17/08, DN) Remove class text.SimpleImmutableEntry because of license.
BUG: (6/16/08, DN) SogRouterAdapter: redundant metal, preferred routing directions (Bug #1679)
FIX: Use API routing which sorts arcs by length.
IMP: (6/13/08, GVG) New way to detect directories in command line (new implementation of Bug #1704)
Previous implementaiton broke command line reader (Bug #1714)
BUG: (6/12/08, SMR) Editing down-in-place can be slow (Bug #1711).
FIX: Added new "Display Control" preference to control the dimming of upper
levels when editing down-in-place (the alpha blending can be slow).
Also added new "Layers" special color to control the color of the
border.
BUG: (6/12/08, SMR) Layers that use patterns but have null patterns and
no outline are not visible (Bug #1145).
FIX: In EGraphics.setPatternLow(), detect this and add the outline.
Also modified CMOS90.java, MoCMOS.java, and tsmc180.xml to fix the
Pad-Frame layer which has this problem.
BUG: (6/12/08, GVG) loading jelib from command line not working (Bug #1714)
FIX: Undone changes for Bug #1704 for now (root cause of this problem).
BUG: (6/11/08, GVG) When there are multiple Transistors in an entry of the component menu,
the popup does not distinguish them properly (Bug #1712).
FIX: Unedited changes in "makeNodeInst()" method of "cmos90" and "mocmos" to give proper names
and put back !var.isDisplay() in TechPalette.getItemName().
BUG: (6/11/08, SMR) When there are multiple Transistors in an entry of the component menu,
the popup does not distinguish them properly (Bug #1712).
FIX: Edited the "makeNodeInst()" method of "cmos90" and "mocmos" to give proper names.
BUG: (6/11/08, GVG) Crash in DRC regression (Bug #1713)
FIX: PrimitiveNodes defined without ports but portNum=0 was defined in the NodeLayer.
Assertion added.
BUG: (6/11/08, DN) Change operation changes the PrimitiveNode size? (Bug #1690)
FIX: In NodeInst.replace() remove test for minimum sizes.
************************* Version 8.07k: ******************************
BUG: (6/10/08, DN) Library reader creates multiple cells for unknown PrimitiveNodes.
FIX: In JELIB.instantiateCellContents check for duplicate cells.
BUG: (6/10/08, DN) Crash in PixelDrawing when drawing cached subcell (Bug #1711).
FIX: In PixelDrawing init varContext to globalContext.
BUG: (6/10/08, DN) Cell renaming doesn't mark "dirty" its library (Bug #1710).
FIX: In Cell.rename() call lib.setChanged().
IMP: (6/9/08, GVG) Should Electric detect a directoriy listed as a lib in the command line? (Bug #1704)
BUG: (6/9/08, GVG) Assertion in DRC MTD area code while cleaning DRC dates.
FIX: Not storing DRC date data during MTD DRC for area.
IMP: (6/9/08, SMR) Relaxed restriction on file name extensions and allow extra letters (Bug #1705).
IMP: (6/9/08, SMR) "Change" dialog has mnemonics on buttons, "Done" is default (Bug #1709).
BUG: (6/7/08, DN) Parallel Dijkstra algorithm in SeaOfGates router hangs sometimes.
FIX: Synchronize access to "layerSurround" maps which are modified by both threads.
BUG: (6/7/08, DN) Assertion boundsDirty == BOUNDS_CORRECT in Cell.undo failed (Bug #1699).
FIX: In Cell.computeBounds() don't skip calculations of bounds of invisible pins.
IMP: (6/6/08, RK) Stop using deprecated data in SchemeToLay.java and GateLayoutGenerator.java
IMP: (6/6/08, GVG) Renaming cells in Explorer window broken (Bug #1700).
Adding extra message to warn user about the renaming of a cells group with multiple icons.
BUG: (6/4/08, SMR) Sea-of-gates router fails to route (Bug #1689).
FIX: Switched the router to full gridless so it can handle these off-grid routes.
BUG: (6/4/08, SMR) Verilog output combines "][" into a single underscore (Bug #1697).
FIX: In Verilog.getSafeNetName(), do not merge underscores.
BUG: (6/3/08, SMR) Multi-object properties dialog doesn't handle font right (Bug #1697).
FIX: In GetInfoMulti.findComboBoxValue(), handle "no change" case.
IMP: (6/2/08, DN) Distinct methods for variables and parameters on NodeInst.
IMP: (5/30/08, DN) Move TextDescriptor.Code to CodeExpression.Code .
TextDescriptor doesn't containt Code field.
BUG: (5/29/08, SMR) Cell extraction duplicates arcs (Bug #1694).
FIX: In CellChangeJobs.replaceExtractedArcs(), ensure arc connects to extracted cell instance.
BUG: (5/29/08, SMR) Sea-of-gates router fails to route (Bug #1689).
FIX: Must convert coordinates to EPoint/ERectangle to properly round values.
IMP: (5/29/08, DN) The consistency between parameters on icon cell and icon instances
is invariant of Snapshot.
IMP: (5/22/08, DN) Variables and parameters on ImmutableIconInst are in separate lists.
BUG: (5/29/08, DN) NPE in updateInheritedVar (Bug #1693)
FIX: Replace CircuitsChangeJobs.updateInheritedVar by "ni.addVar(var)".
IMP: (5/28/08, SMR) Sea-of-gates router honors alternating metal better (Bug #1679).
IMP: (5/27/08, GVG) TechEditorWizard handling multi cuts rules for diff contacts.
IMP: (5/27/08, SMR) Added accelerator keys to width/length Node Properties (Bug #1688).
BUG: (5/27/08, SMR) Dialogs (specifically Multi-object Properties) may grow
too large for the display.
FIX: In EModelessDialog.ensureProperSize(), limit dialog size.
IMP: (5/22/08, DN) New methods in ElectricObject: getVarValue, getParameterOrVariable, getParametersAndVariables.
IMP: (5/22/08, SMR) Explorer tree scrolls to show selected item (Bug #1691).
IMP: (5/22/08, DN) Variables and parameters on ImmutableCell are in separate lists.
IMP: (5/21/08, SMR) Creating parameters on instances places them correctly.
IMP: (5/20/08, RK) Place and route InstFifoAll
************************* Version 8.07j: ******************************
BUG: (5/19/08, SMR) Deleting instances with exported and wired ports leaves
multiple disconnected pins (Bug #1681).
FIX: Reuse pins so that they are all connected.
IMP: (5/19/08, SMR) Added accelerator keys to Node and Arc Properties (Bug #1688).
BUG: (5/19/08, SMR) "Edit / Cut" on node and arc text doesn't work (Bug #1686).
FIX: Fixed Clipboard.CutObjects.doIt().
IMP: (5/16/08, DN) The consistency between parameters on icon and schematic cells in a group
is database invariant.
BUG: (5/15/08, GVG) Exception while in TechWizardEditor if numMetals > 12 (Bug #1687)
FIX: Extra code in Layer, DRCTemplate and TechEditWizardData to catch the inconsistency.
IMP: (5/15/08, SMR) Added "Apply to instances" checkbox to "Parameters" dialog
to request that newly-created parameters be placed on all instances.
IMP: (5/15/08, SMR) Added Electric icon to file I/O dialogs.
BUG: (5/15/08, SMR) Vector display algorithm "greeks" some cells long before
others, causing inconsistency of display (Bug #1683).
FIX: In VectorCache.renderPoly(), ignore all implant layers when computing maximum
feature size.
BUG: (5/15/08, SMR) "Edit / Cut" on export text doesn't work (Bug #1680).
FIX: Fixed Clipboard.CutObjects.doIt().
IMP (5/15/08, SMR): The "Node Preferences" option "Reconstruct arcs when deleting instances"
is now "Reconstruct arcs and exports when deleting instances" and recreates
pins for exports (Bug #1681).
BUG (5/15/08, SMR): The command "Cell / Cell Info / List Nodes In This Cell" counts "example"
icons, which it shouldn't. This gives incorrect instance counts when examining
schematics (Bug #1684).
FIX: Fixed in CellLists.listNodesInCellCommand().
BUG: (5/14/08, DN) Schematic DRC regressions failed.
FIX: In IconNodeInst.composeInstParam derive isDisplay() on instance parameter from
isInterior() on icom parameter.
IMP: (5/14/08, RK) Fix NCC documentation
BUG: (5/13/08, GVG) DRC doesn't check active cut rule C, page 74 (doc) of tsmc90 (Bug #1664)
FIX: Extra code was added to switch from B to C rule if multi-cuts are detected.
The code should not be slower than before.
IMP: (5/13/08, DN) User can set TextAttributus of parameters on node instances.
IMP: (5/7/08, GVG) Add new contacts M12->M67, Poly in CMOS90 (Bug #1677)
BUG: (5/6/08, SMR) Printing quality is not well understood (Bug #1648).
FIX: Updated section 4-8 of manual to explain different hardcopy options and limitations.
BUG: (5/6/08, DN) Bugs reading mocmos.xml (Bug #1594).
FIX: In MoCMOS constructor swap some coordinates in Scalable Transistor definition.
IMP: (5/6/08, DN) Move the algorithm ensuring consistency of cell parameters in a group
from CheckAndRepair to LibraryRead.
BUG: (5/5/08, SMR) Converting from schematics to layout creates DRC and gallery cells
unnecessarily (Bug #1675).
FIX: In GateLayoutGenerator.generateLayoutFromSchematics(), do not create DRC or
gallery if no Red/Purple gates were found.
BUG: (4/30/08, SMR) Converting from schematics to layout orients the transistors
incorrectly (Bug #1674).
FIX: In ViewChanges.MakeLayoutView.MakeLayoutVisitor.placeLayoutNode(), compute
proper transistor orientation.
BUG: (4/30/08, SMR) Replacing nodes loses node names (Bug #1672).
FIX: In NodeInst.replace(), rename old node before copying name to new node
to prevent duplicate name errors.
IMP: (4/28/08, RK) Remove more references to
com.sun.electric.tool.generator.layout.Tech.
IMP: (4/29/08, SMR) Auto-stitcher now has the option to create exports
(Bug #1593).
BUG: (4/29/08, RK) My cleanup of the gate layout generation code broke
the TSMC180 regressions 080429_0000. Repaired.
BUG: (4/29/08, DN) AND primitives don't resize correctly when adding input wires (Bug #1653).
FIX: In LayoutCell.transformByPort don't try to transform port positions of isolated ports.
IMP: (4/28/08, RK) Eliminate many references to static globals of
com.sun.electric.tool.generator.layout.Tech.
IMP: (4/28/08, SMR) Fixed GDS project settings dialog to say that
"Blank" layers generate no GDS, not "Negative".
************************* Version 8.07i: ******************************
FIX: (4/25/08, RK) NCC Sport regressions fail. This is because Electric no longer
supports the fictional metal-5 to metal-8 via. This via was a
temporary expedient. It is not worth supporting. I modified
one Sport regression to expect the large number of mismatched cells. (Bug #1590)
FIX: (4/24/08, RK) CMOS90 Nand2en has wrong width hints. CMOS90
generators may generate metal-1 minimum area errors. (Bug #1671)
IMP: (4/24/08, RK) I removed use of StdCellParams from FoldedMos. FoldedMos
is supposed to be a in layer beneath StdCellParams. I moved much
technology specific information from StdCellParams to TechType.
IMP: (4/23/08, SMR) New command "Edit / Selection / Show Current Collection of Errors"
highlights all errors in the current collection (Bug #1621).
BUG: (4/23/08, DN) Cut and paste corrupts bus tag (Bug #1667).
FIX: Allow bus names in Clipboard Cell.
BUG: (4/23/08, DN) Quitting right after startup prompts to save library (Bug #1669).
FIX: In Main.InitDatabase.doIt call "mainLib.clearChanged()".
BUG: (4/23/08, DN) Network API is confusing (Bug #1670).
FIX: Add method Network.getGlobals().
BUG: (4/22/08, RK) CMOS90 Nand2 gate layout generator was violating tsmc90 rule CO.S.2
IMP: (4/22/08, SMR) Ports that are down the hierarchy and not selectable are
no longer drawn (Bug #1665).
BUG: (4/21/08, SMR) HSpice input sometimes mangles signal names incorrectly (But #1630).
FIX: Only remove common signal prefixes if they also match the file name.
IMP: (4/21/08, SMR) Changed all of the "Edit XXX View" commands in the
View menu so that if the view does not exist, you are prompted to create
it (Bug #1609).
IMP: (4/17/08, SMR) New command "Export / Follow Export Up Hierarchy"
shows the usage of the selected export recursively up the hierarchy (for Ivan).
IMP: (4/17/08, SMR) New command "Cell / Cell Info / List Cell Usage, Hierarchically"
shows the usage of the current cell, but recursively shows usage of cells that use
it further up the hierarchy (for Ivan).
BUG: (4/17/08, SMR) Changing a node to a different one keeps the same temporary name. (Bug #1662).
FIX: In NodeInst.replace(), copy name only if it is not a temporary name.
IMP: (4/17/08, SMR) Waveform window now handles "Window / Special Pan /
Center Cursor" (^5) which centers the horizontal axis over the cursor (Bug #1654).
IMP: (4/17/08, SMR) Waveform window now remembers default panel height set by
buttons (Bug #1656).
BUG: (4/16/08, SMR) Reinvoking Electric when there is insufficient memory ignores
the "user.home" parameter setting (Bug #1656).
FIX: In Launcher.invokeElectric(), consult a list of parameters to copy (currently
just "user.home".
BUG: (4/16/08, SMR) Sea-of-gates router gives "arc killed" error messages (Bug #1414).
FIX: Check for deletion before deleting arcs.
IMP: (4/16/08, SMR) Waveform window can be panned horizontally by dragging
the time scale at the top. Also added two new commands to the
"Window / Waveform Window" menu: "Fill Only in X" and "Fill Only in Y" (Bug #1654).
BUG: (4/16/08, SMR) Option reconciliation dialog may be too wide (Bug #1655).
FIX: In OptionReconcile constructor, trim values to 30 characters.
BUG: (4/16/08, SMR) Waveform window draws lines all the way to the right side of the
screen, even when the data does not exist (Bug #1645).
FIX: In Panel.processSignals(), do not extrapolate data.
BUG: (4/16/08, SMR) Testing for file existence locks the file.
FIX: In TextUtils.URLExists(), close the connection on the URLConnection.
BUG: (4/15/08, GVG) NPE if File f is null due to permissions problem (Bug #1657)
FIX: Detect the case.
BUG: (4/15/08, SMR) Waveform windows can be made too short and cause errors (Bug #1651).
FIX: In WaveformWindow.growPanels(), limit row height.
************************* Version 8.07h: ******************************
BUG: (4/15/08, SMR) Editing a new cell when descended "in place" displays wrong (Bug #1650).
FIX: In EditWindow.setCell(), clear in-place descent history if no history is given.
BUG: (4/15/08, DN) Cross-library copy problem (Bug #1649)
FIX: In CellChangeJobs.copyRecursively check if the fromCell is already moved.
BUG: (4/14/08, GVG) DRC crash when storing dates (Bug #1646)
FIX: Code was made more robust to inconsistencies in the DRC variables stored.
BUG: (4/14/08, SMR) Waveform window doesn't handle data with descending time values (Bug #1648).
FIX: Must handle edges of waveform panel specially.
BUG: (4/11/08, GVG) Sea of gates router (Bug #1414)
FIX: Sea-of-Gates scrpts in the regressions were fixed.
BUG: (4/10/08, SMR) Spice output does unusual things with quoted text (Bug #1644).
FIX: When parameter text is inside parenthesis, don't enforce quotes.
BUG: (4/9/08, DN) RevisionDate of a Cell is not clear in Generation regressions.
FIX: In Cell.lowLevelSetRevisionDate call "database.unfreshSnapshot()".
In AbsractTest.compareResults call "cell.getDatabase().backup()".
IMP: (4/9/08, SMR) Typing "z" when in zoom mode or "p" when in pan mode now
reverts to the last mode (not always click-zoom-wire) and can be used to
return to paste dragging, interactive resizing, etc. (Bug #1628)
BUG: (4/9/08, SMR) ALS simulator fails because VHDL conversion fails (Bug #1636).
FIX: Fixed VHDL generation to handle ports better.
BUG: (4/8/08, DN) PadFrame generator doesn't mark generated cells as changed.
FIX: In database.constraint.Layout mark revision dates even in "quiet" mode.
BUG: (4/8/08, GVG) NPE in Database regression (Bug #1642)
FIX: Calling graphics.setLayer() after the layer index is calculated.
BUG: (4/8/08, SMR) Crossprobing not working right (Bug #1630).
FIX: More specific use of context when crossprobing.
BUG: (4/8/08, DN) Continuous NPE loop (Bug #1640)
FIX: In Variable.makeStringVar consider for null entries in an array.
BUG: (4/8/08, DN) All icons the same color (Bug #1637).
FIX: In EGraphics.setLayer don't change preferences in case of "free" layer.
BUG: (4/8/08, DN) HierarchicalEnumerator/Netlist get confused with export names like X(A,B,C) (Bug #1627, #1631)
FIX: Allow bussed names in icon and schematics Cells only.
IMP: (4/4/08, GVG) Better error message for Bug #1627.
IMP: (4/3/08, SMR) Sea-of-Gates router now defaults to use parallel processing.
************************* Version 8.07g: ******************************
BUG: (4/3/08, SMR) Sea-of-Gates router doesn't route every node on the network.
FIX: Routing.findNetEnds() improved to locate all connections.
BUG: (4/3/08, SMR) Printing crashes.
FIX: In EditWindow.getPrintImage(), recreate Rectangle instead of setting fields.
IMP: Added Technology XML format to user's manual (section 8-10)
BUG: (4/2/08, SMR) Electric cannot re-run JVM when there are spaces in the Jar path (Bug #1624).
FIX: In Launcher.invokeElectric(), wrap Jar file path in quotes if it has spaces.
BUG: (4/2/08, SMR) Auto stitcher misses zero-size ports.
FIX: Fixed quad-trees and updated Auto-stitcher.
BUG: (4/1/08, GVG) Quad tree doesn't handle zero-sized objects (Bug #1626)
FIX: ObjecQTree now can handles zero-sized objects. Basic test was added into Extraction regression.
IMP: (3/31/08, SMR) Added command "Window / Messages Window / Tile with Edit Window"
to make the messages window tile with the edit window (Bug #1625).
IMP: (3/28/08, SMR) Using "Down Hierarchy In Place" on schematic icons
lets you edit the icon (in place) instead of the schematic (Bug #1607).
BUG: (3/27/08, SMR) Crash while reading HSpice MT0 file (Bug #1618).
FIX: Accept files without indented continuation lines.
BUG: (3/27/08, SMR) Reloading circuit in built-in simulators crashes.
FIX: In DigitalSignal.finished(), do not finish busses if none exist.
BUG: (3/27/08, SMR) EDIF doesn't handle busses right.
FIX: No longer short all signals on a bus.
BUG: (3/27/08, DN) TechEdit writes red component of first transparent color as 0.
FIX: In tecEdit/GeneralInfo.getTransparenColors remove text before ':'.
BUG: (3/27/08, DN) Crash when TechEdit writes Xml.
FIX: In tecEdit/LibToTech check nodeSizeRule, spiceTemplate, sizeOffset.
BUG: (3/27/08, DN) Crash in tecEditTests.
FIX: In EDatabase and Cell correctly update techPool.
BUG: (3/24/08, DN) Spurious error message when editing schematics (Bug #1613)
FIX: In Foundry constructor mark Foundries with fileURL==null as loaded.
BUG: (3/20/08, SMR) Sea-of-gates router is slow (Bug #1414).
FIX: Much faster now. Interleaves steps in the two directions.
************************* Version 8.07f: ******************************
BUG: (3/19/08, SMR) Interactive sizing doesn't work right with SHIFT key held.
FIX: Fixed detection of shift/control bits.
BUG: (3/18/08, DN) Xml technology file tolerates zero multicut size and spacing.
FIX: In Technology.xsd use PositiveDouble type.
BUG: (3/18/08, DN) Backward compatibility with XML Technologies (Bug #1611)
FIX: In Technology.xsd make <display3d.mode> <display3d.factor> <*Rule.when> attributes optional.
IMP: (3/18/08, SMR) Fragmented Spice netlister into multiple modules in preparation for
additional Spice parasitics. Added more parasitics options to Spice Preferences.
IMP: (3/17/08, DN) FullSize of primitive node is calculated as MBB of its layers.
BUG: (3/17/08, SMR) EDIF input doesn't handle "member" properly.
FIX: Extract proper member entry.
BUG: (3/17/08, DN) Numerous instabilities in XML.
FIX: Revert to XML syntax as in Version8-06.
IMP: (3/14/08, DN) Package com.sun.electric.technology.xml for major versions of the Technology Xml syntax.
BUG: (3/13/08, DN) UnsupportedOperationException while uploading XML tech (Bug #1606)
FIX: In Technology.technology(Generic,Xml.Technology) don't deparameterize arcs.
BUG: (3/12/08, SMR) PostScript output ignores some text.
FIX: In PostScript.recurseCircuitLevel(), draw text even at lower levels.
BUG: (3/12/08, DN) Incorrect contact size of tsmc:Metal-5-Metal-6-Con because DRC deck
has two rules with the same name "A page 47" but with different values.
FIX: In Technology.TechDistanceContext.getRule() ignore NODSIZE and MINWIDCOND rules (Walkaround).
BUG: (3/12/08, DN) Multicut layers of primitive nodes
"tsmc180:Metal-1-Polysilicon-2-Con" and "tsmc180:Metal-1-Polysilicon-1-2-Con"
had sizex=A and sizey=A which is less than Poly-Cut MINWID = B .
FIX: Use rule names: <multicutbox sizeRule="A page 39" sepRule="B page 39" sepRule2D="B1 page 39"/>
IMP: (3/12/08, DN) <multicutbox> has attributes with rule names instead of numbers.
BUG: (3/11/08, SMR) Node extraction creates too many exports, names them badly (Bug #1593).
FIX: Names are taken from other exports, only one created in a place.
BUG: (3/11/08, DN) Wrong size of active arcs in TSMC foundry CMOS90.
FIX: In CMOS.getRuleAliases() add "H page 54" -> "J page 54".
BUG: (3/7/08, GVG) Disk image may be damaged on MacOSX (Bug #1592)
FIX: Adding extra flag to mkdmg.sh
BUG: (3/6/08, SMR) EDIF input doesn't always get export connected to circuitry (Bug #1591).
FIX: Create second arc if necessary so that it can be given the export name.
IMP: (3/6/08, DN) ArcPin's geometry is inherited from the ArcProto (except elib sizes).
BUG: (3/5/08, SMR) Generic technology objects are not written to PostScript.
FIX: Set "patterned on printer" to false for all Generic layers.
IMP: (3/5/08, DN) Fixes in "Write XML from Old Electric Build command".
BUG: (3/4/08, DN) Parameter properities dialog throws exception on schematic cells.
FIX: In Attrubutes.loadAttributesInfo move error message and allow schematic cells.
IMP: (3/4/08, DN) Element <arcPin> inside <arcProto> defines <acrPin> NodeLayers from ArcLayers.
BUG: (3/3/08, DN) SizeListener incorrectly determine new node size after SizeOffset changes.
FIX: Repair SizeListener
IMP: (3/3/08, SMR) Added "Toolbar" preferences (in Display section) for rearranging the toolbar.
Also moved the "Component menu" preferences to the Display section.
IMP: (3/3/08, SMR) Added toolbar commands to pulldown menus:
"Cell / Cell Viewing History / Go Back a Cell" and
"Cell / Cell Viewing History / Go Forward a Cell" to move through the displayed cells in the window.
IMP: (3/3/08, DN) Element <primitiveNode> in Technology Xml contains element
<nodeBase> instead of former <sizeOffset> . Distances in <nodeBase> are from center.
IMP: (3/3/08, DN) Added "Edit|Technology Specific|Write XML of Technology from Electric build"
command to convert technologies from old Electric builds.
BUG: (3/2/08, DN) NPE in Poly.transform after (Bug #1588)
FIX: Check that "descript != null".
BUG: (2/29/08, SMR) EDIF input crashes (Bug #1588).
FIX: In TextUtils.isANumber(), ignore nulls.
IMP: (2/29/08, SMR) Added text rotation for rotated parameters (Bug #1587).
BUG: (2/29/08, SMR) EDIF input shorts crossed wires at an angle (Bug #1586).
FIX: In EDIF.findEDIFPort(), ensure that angled wires are computed properly.
IMP: (2/25/08, DN+JKG) Merge branches/params to the trunk:
CheckAndRepairJob ensures consistency between parameters on icon and schematic cells.
Icon/schematic cells in a group shares parameter names, default values, and units.
They may differ in text descriptor (except units, param flag, inherit flag).
CheckAndRepairJob constructs absent parameters on icon cell from name/value/unit on
main schematics and from text text descriptor on example instance of icon cell.
Attribute dialog also tries to maintain consistency between parameters on icons/schematics
in a cell group, though it is not database invariant yet.
Parameters are not allowed on cells other than icons/schematics.
Parameters on icon instances inherits patched text descriptor from
icon cell. Patching means: cleared params and inherit and interior flag.
Display flag of instance parameter is the negateion of the interior flag of
icon Cell parameter.
Spice netlister automatically detects paramters necessary for Spice output.
It writes them and only them as subcircuit parameters into Spice decks.
Both Spice and Java syntax are allowed for such parameters.
************************* Version 8.07e: *******************************
IMP: (2/25/08, DN) Add FileType.JAR with file type group "Electric Build".
IMP: (2/24/08, DN) One more PrimitiveNode constructor with minSizeRule argument.
BUG: (2/22/08, GVG) Incremental DRC finds errors when there are none (Bug #1584)
FIX: Now using updateIncrementalDRCErrors function.
IMP: (2/22/08, SMR) EDIF input now reads parameters only when they are
explicitly listed in the EDIF Preferences dialog (Bug #1533).
BUG: (2/21/08, DN) NPE in NCC regression (Bug #1583)
FIX: Repair input.ReadableDump .
IMP: (2/21/08, GVG) Better implementation of export of any given technology in XML (Bug #1567).
Adding sizeoffset to pins defined by TechEditWizard.
IMP: (2/21/08, SMR) Added ability to drag layers in the "Layers" tab
to rearrange their order.
BUG: (2/20/08, DN) TechEditWizard writes Layer opacity "0" (Bug #1579).
FIX: In TechEditWizard compute opacity by Jon's algorithm from EditWindow.setDefaultOpacity.
IMP: (2/20/08, GVG) Better message if Technology.xsd file can't be uploaded. If null, Electric runs
without schema validation.
BUG: (2/20/08, GVG) Runtime exception in tech generated while uploading another XML tech (Bug #1581)
FIX: Layout code reorganized to prevent the error.
IMP: (2/20/08, SMR) Pad frame generator adds net names that show the core and export.
BUG: (2/20/08, SMR) Wiring may crash.
FIX: In Router.getArcToUse(), check for busses only when both ends are known.
IMP: (2/19/08, DN) Database invariants added:
Cell parameters are allowed on icon and scheamtic cells only.
Their names must start with "ATTR_".
BUG: (2/19/08, DN) No redisplay after merging with branches/params (Bug #1579)
FIX: In VectorCache.forceRedrawAfterChange move changedVisibility.add to proper place
IMP: (2/19/08, GVG) Wrong names in pulldown menus for new technologies created with Wizard (Bug #1580)
IMP: (2/19/08, JKG) Attributes dialog becomes parameters dialog.
IMP: (2/19/08, JKG) Added "Edit|Logical Effort|Add LE Attribute to Selected Export" command.
IMP: (2/19/08, DN) Spice netlister automatically detects spice cell parameters.
IMP: (2/19/08, DN) Code variables in database has value of type CodeExpression.
IMP: (2/17/08, DN) Size in ImmutableNodeInst matches the size written currently to JELIB.
IMP: (2/17/08, DN) Added class ImmutableIconInst to represent instances of Icon cells.
Also merge miscellaneous changes from "params" branch.
BUG: (2/16/08, DN) TechEditor wrong places node examples.
FIX: In tecEdit.NodeInfo.compactSell correctly find biggest example.
BUG: (2/16/08, DN) Technology Creation Wizard with wrong gridoffset for ArcProto? (Bug #1576)
FIX: Replace <diskOffset> by <elibWidthOffset> in Xml.ArcProto .
Modify techEdit so that it doesn't recalculate elibWidthOffset .
BUG: (2/15/08, SMR) Auto-stitching doesn't work well in schematics.
FIX: Use position detection for schematics, force busses where appropriate.
IMP: (2/15/08, SMR) Extended "raw" reader (used by SmartSpice output) to handle
raw output of Spectre.
IMP: (2/15/08, GVG) Uploading of XML techs should not tolarate same names (Bug #1578)
IMP: (2/15/08, DN) Field gridOffset of Technology.ArcLayer is replaced by field gridExtend with
slightly different meaning.
BUG: (2/14/08, GVG) Save Paramaters in TechEditorWizard not exporting diff_poly_overhang properly.
FIX: Wrong text exported.
IMP: (2/14/08, GVG) Preventing NPE in TechType constructor if technology is null.
IMP: (2/14/08, GVG) minOccurs="0" in Technology.xsd, antennaRatio
BUG: (2/13/08, GVG) Exception if number of metal layers is larger than 10 (Bug #1577)
FIX: Number of DRCmodes extended.
IMP: (2/13/08, GVG) Ability to export any given technology in XML (Bug #1567)
IMP: (2/13/08, GVG) Changes to guarantee Technology.getCurrent() won't retrieve a null tech
if problems during technology parsing occurs. More consistent way to get MocMOS tech.
BUG: (2/13/08, GVG) DRC crashes after run with error (Bug #1575)
FIX: Problem introduced when dates for spacing rules were split from dates for areas (Bug #1539)
IMP: (2/13/08, SMR) Verilog output now writes busses for layout cells.
IMP: (2/13/08, SMR) EDIF input adds cell properties that start with "def"
as parameters (in Cadence compatibility mode only) (Bug #1533, #1568).
IMP: (2/13/08, DN) Remove versions and diskOffsets from tecEditWizard technologies
because they are not necessary for new technologies.
IMP: (2/13/08, SMR) Technology Creation Wizard now uses rule names (Bug #1569).
BUG: (2/13/08, SMR) Technology Creation Wizard doesn't write proper XML (Bug #1571).
FIX: Reordered GDS output, fixed "+" in layer names.
BUG: (2/12/08, DN) Better catching of validation errors in XML tech files? (Bug #1574)
FIX: Don't print stack trace on parse error in Xml technology file.
BUG: (2/12/08, GVG) XML files generated by Tech Creator Wizard are not valid (Bug #1571)
FIX: Changes in TechEditWizardData.dumpTechnology
BUG: (2/12/08, GVG) NPE if current technology can't be set (Bug #1572)
FIX: Detect when the current technology is null
BUG: (2/12/08, DN) "bipolar.xml" and "cmos.xml" has port names with '+' char which is illegal in XML NCName.
FIX: Change port names.
BUG: (2/12/08, DN) Technology ".xml" file are not validated.
FIX: In Xml.parseTechnology set Schema to SAXParserFactory.
Add "spiceTemplate" and "menuCell" entities to "Technology.xsd".
In "Tehnology.Xml" remove import of Cell class.
BUG: (2/11/08, SMR) Technology Creation Wizard swapped transistor length/width (Bug #1564).
FIX: Swapped letters in "gate" panel.
BUG: (2/11/08, SMR) Technology Creation Wizard crashes if more than 10 metals (Bug #1566).
FIX: Allowed more than 10 (limited by color list).
IMP: (2/11/08, SMR) "Via" panel of Technology Creation Wizard now uses pulldown (Bug #1565).
************************* Version 8.07d: *******************************
IMP: (2/11/08, SMR) Component menu dialog now supports cell instances.
BUG: (2/4/08, DN) New: NPE while reading an old JELIB library (Bug #1555)
FIX: In LibraryFiles.findPortProto() return single-port only for empty port name.
IMP: (2/8/08, DN) Remove ReadubleDump ".txt" libraries from com.sun.electric.lib .
BUG: (2/8/08, DN) Reading process got broken (Bug #1562).
FIX: In JELIB.figureOutPortInst use local variable for transformed port position.
In.JelibParser.getVariableValue extract substring for x value of EPoint .
IMP: (2/7/08, SMR) "Cells" component menu entry now shows only appropriate
cell types (Bug #1557).
BUG: (2/6/08, DN) Illegal exception while reading an old library (Bug #1561)
FIX: In JELIB.realizeCellsRecursively add version number for dummy CellId.
************************* Version 8.07c: *******************************
BUG: (2/6/08, SMR) EDIF input now ignores EDIF for existing cells (Bug #1532).
Also, EDIF Preferences dialog made prettier.
BUG: (2/6/08, GVG) via, M1_PP_Contact, M1_NP_Contact and PNJunction nodes in bipolar tech
should be CONTACT instead of CONNECT in bipolar.xml
FIX: Fixed and new 3D values were added.
BUG: (2/6/08, SMR) Technology edit commands are in a confusing place (Bug #1559).
FIX: Moved tech-edit commands to their own submenu. Fixed technology edit
component editing so that it is clear that it is part of tech-edit.
Also added "Factory Reset" to Component Menu preferences panel.
BUG: (2/6/08, SMR) Verilog output still writes fragmented busses wrong (Bug #1523).
FIX: In Verilog.writeCellTopology(), write module header and invocation as
separate signals when bus is fragmented.
IMP: (2/4/08, SMR) Improved "Technology Creation Wizard".
BUG: (2/5/08, SMR) Spice output tries to write internal nets in subcircuit headers.
FIX: In Spice.writeCellTopology(), ignore signals with no exports or globals.
BUG: (2/5/08, SMR) Spice output may crash.
FIX: In Spice.writeCellTopology(), check for null networks.
BUG: (2/4/08, DN) Stack overflow while placing sch into icon (Bug #1556)
FIX: 1) Check recursion in Snapshow.with method.
2) Forbid subcell instances in icon cell
IMP: (2/4/08, DN) JELIB reader parses cell string in parse stage instead of realize stage
BUG: (2/4/08, DN) New: NPE while reading an old JELIB library (Bug #1555)
FIX: In Cell.getPort() use getExportChron().
IMP: (2/4/08, SMR) Added "Technology Creation Wizard" (from Andrew West).
BUG: (2/1/08, DN) Vector display algorithm and wrong display of highlighted geometries (#Bug 1551)
FIX: In PixelDrawing.Drawing.paintComponent use the same rerendering condition as in LayerDrawing.
Also moved all redisplay staff in package user.redisplay .
IMP: (2/1/08, DN) Add "tftTest" to technology regressions.
BUG: (2/1/08, DN) In "tft.xml" pure layer nodes HiK, Pent, Via1 try to connect to
non-existing arcs.
FIX: Comment <portArc> for these pure layer nodes.
IMP: (2/1/08, DN) Rename TFT.xml to tft.xml so that file name matches tech name.
BUG: (2/1/08, DN) Activity logger crashes when Job.getUserInterface is null yet.
FIX: Print message to System.out in this case.
BUG: (2/1/08, DN) Exception in PaletteFrame when current technology is not set yet.
FIX: In PaletteFrame.loadTechnologies test curTech for null.
BUG: (2/1/08, DN) Xml technology loader doesn't check PrimitivePort connections.
FIX: Add checks to Technology(Generic,Xml.Technology) and PrimitivePort(...) constructors.
IMP: (2/1/08, GVG) Add 3D values to layout technologies (Bug #1553).
Better detection if no non-flat nodes are found.
IMP: (1/31/08, GVG) 3D Viewer should not be restricted to 180/90nm techs (Bug #1550).
Warnings will be given if the technologies don't have any non-zero 3D values.
BUG: (1/31/08, GVG) NPE when running MTDRC only min area.
FIX: Avoid NPE and more fixes for skipping layers in min area.
IMP: (1/31/08, DN) JELIB writer can write single CellRevision.
BUG: (1/30/08, GVG) DRC code was not skipping properly layers for minArea checking.
FIX: Added extra code in QuickAreaEnumerator.skipLayer().
BUG: (1/30/08, GVG) DRC dates are missing in MTDRC code (Bug #1546)
FIX: Code added and working.
BUG: (1/30/08, GVG) DRC dates wrong in SimpleHierarchy example (Bug #1539)
FIX: Min area date is stored in a different cell variable so only it is independent of the spacing rules.
BUG: (1/30/08, GVG) Not properly reset of DRC dates if they were stored as Variables before (Bug #1549)
FIX: Clear all cell vars even if it is not the inMemory mode.
IMP: (1/30/08, DN) JELIB writer doesn't write 'G' lines, because Cell's Groups is
defined by a field in 'C' line.
IMP: (1/28/08, GVG) Merge MTDRCArea with Spacing code (Bug #1544)
BUG: (1/28/08, GVG) Inconsistency found in maxSurround rule in single and MT threaded versions (Bug #1547)
FIX: Deterministic algorithm now.
IMP: (1/28/08, GVG) Ability to switch between single-threaded DRC and the multi-threaded version (Bug #1548).
New option added in DRC preference.
IMP: (1/28/08, SMR) Improved EDIF input.
BUG: (1/27/08, DN) Abort works for EXAMINE jobs only (Bug #1354).
FIX: In Job.abort() mark both clientJob and serverJob as aborted
(this works only in single-JVM mode).
IMP: (1/27/08, DN) Added SeaOfGates regression tests.
BUG: (1/26/08, DN) Avoid floating imprecisions in Project Setting Reconcilation (Bug #1538).
FIX: "ProjectSettings | Parasitics" dialog shows parasitics values without rounding now.
It shows that imprecisions existed in disk library.
IMP: (1/25/08, GVG) PolyBase.isInside() checks if point is inside bounding box to speed up
the process. checkMinAreaLayerWithLoops in mTDRCArea checking.
BUG: (1/24/08, GVG) Picking wrong DRC spacing rule when notches are checked together with minArea (Bug #1540)
FIX: Search among all possible candidates if wideS < 0. Mostly valid only for notch checking in
new MTDRC code.
BUG: (1/24/08, SMR) EDIF mapping now handles cells (Bug #1471).
BUG: (1/24/08, GVG) ErrorLoggers are not added into Explorer (Bug #1541)
FIX: Missing termLogging added.
BUG: (1/24/08, GVG) Can't abort MTDRCArea jobs (Bug #1542)
FIX: Added missing abort function.
BUG: (1/24/08, DN) STAOpptionDialogs calls setDefaultCloseOperation(javax.swing.WindowConstants.EXIT_ON_CLOSE);
This value is invalid for JDialog and Java 1.6 detects it.
FIX: Do not change the default close operation.
BUG: (1/24/08, DN) New: quit when unable to save (Bug #1537)
FIX: Create FileMenu.RenameAndSaveLibraryTask objects in GUI and pass it to doIt
methods of QuitJob, ReadLibrary, ImportLibrary, CloseLibrary jobs.
BUG: (1/23/08, GVG) Quit command does not longer work (Bug #1543)
FIX: Missing QuitJob.startJob().
IMP: (1/23/08, SMR) EDIF import obeys library names in the EDIF file (Bug #1532).
************************* Version 8.07b: *******************************
IMP: (1/23/08, SMR) Added "DebugMenuDinesh" and "DebugMenuFrankie" to provide
sandboxes for them.
IMP: (1/22/08, GVG) Final implementation of PWell process flag in Technology dialog
in ProjectSettings (Bug #1439). By default, the processes are pwell.
IMP: (1/18/08, GVG) Part of the code to implement Bug #1439 (PWell process).
Changes are not active yet until the regressions are updated. New setting in Technology
dialog in ProjectSettings.
IMP: (1/18/08) GetInfo menu added to ExplorerNodes (Bug# 1536).
BUG: (1/18/08, GVG) Wrong pulldown menu if two root nodes in Explorer are selected (Bug #1535).
FIX: Detect if number of selected nodes is bigger than 1. If yes, skip that particular menu.
BUG: (1/18/08, GVG) NPE while sorting DRC errors per rule (Bug #1534)
FIX: If rule name is null them take DRC type for the grouping.
IMP: (1/17/08, GVG) Removing old MT DRC code under MultiDRC classes. They have been
replaced by MTDRC*. Added PolyBase.isPointInsideCutAlgorithm to replace
PolyBase.isInsideGenericPolygonOriginal because it is faster. PolyBase.bitRectangle added.
IMP: (1/17/08, SMR) Sea of gates router now handles multiple processors.
BUG: (1/16/08. GVG) NPE in export PNG (Bug #1531)
FIX: Now the code detects when the print service is not available for whatever reason.
IMP: (1/16/08, SMR) EDIF input handles busses properly, places offpages well.
IMP: (1/16/08, SMR) "Change" is now more forgiving when two ports share
the same location.
BUG: (1/16/08, DN) Highlighter.getOneElectricObject doesn't find IconNodeInsts.
FIX: Use type.isInstance(eobj) instead of type == eobj.getClass().
************************* Version 8.07a: *******************************
BUG: (1/14/08, SMR) Wide symbols may get split in Verilog output.
Fix: In Output.writeWidthLimited(), handle wide symbols properly.
IMP: (1/11/08, DN) Parameters on icon instances are virtual.
They inherit from icon cell everything except value.
This feature is disabled now by NodeInst.VIRTUAL_PARAMETERS = false .
IMP: (1/11/08, DN) Algorithm to detect Spice parameters in DebugMenuDima.detectSpiceParams .
BUG: (1/11/08, DN) Assertion during deserialization.
FIX: In NodeInst make readResulve package-private, In EObjectOutputStream treat Nodables correctly.
BUG: (1/10/08, DN) Assertion creating IconNodeInst.
FIX: Change visibility of NodeInst constructors.
IMP: (1/10/08, SMR) Spice Preferences now has option for writing .end statement
and has choice of resistor shorting (Bug #1447).
IMP: (1/10/08, DN) Inctances of icon cells have type IconNodeInst .
IMP: (1/10/08, DN) CellRevision and all Immutable* classes are technology independent.
BUG: (1/9/08, DN) NPE while reading nanosim output file (Bug #1530).
FIX: Initialize EpicAnalysis.signalsUnmodifieable in EpicAnalysis constructor.
BUG: (1/9/08, SMR) Sea-of-Gates router creates notches (Bug #1476).
FIX: Fixed code that compares part of route with previous parts of same route.
IMP: (1/9/08, SMR) Added new command: "Export / Manipulate Exports..."
which displays exports in cell in a dialog. One of the buttons in this
dialog is "Renumber Selected Numeric Export Names" which takes a set
of numeric exports (i.e. exports with numeric suffixes, such as "gnd_1",
"gnd_3", etc.) and renumbers the suffixes so that they have no gaps and
start with an unnumbered name (Bug #1465, 1526).
IMP: (1/9/08, SMR) Verilog netlister now uses backslash notation for busses
with gaps (Bug #1523).
BUG: (1/8/08, SMR) Creating a new attribute doesn't include settings in dialog (Bug #1528).
FIX: In Attributes.CreateAttribute constructor, build initial TextDescriptor.
IMP: (1/8/08, DN) ImmutableArcInst is technology independent.
IMP (1/7/08, SMR) Improved "Arc Properties" and "Node Properties" dialogs
so that the current bus arc/port's members can be listed (Bug #1529).
IMP: (1/7/08, SMR) Array command remembers all settings in preferences (Bug #1527).
BUG: (1/6/08, DN) Compilation error in TechPool on Java 1.5.
FIX: Copy AbstarctMap.SimpleImmutableEntry class from Java 1.6 into database.text directory.
IMP: (1/5/08, DN) Added TechPool class which is a map from TechId to Technology.
BUG: (1/3/08, SMR) Adding AC or DC signals to the waveform window brings
them up in a Transient panel, and they are not remembered (#1469).
FIX: Set the panel type correctly when creating them.
BUG: (1/3/08, SMR) Node/Arc/Export Properties sometimes truncates data.
FIX: Must pack dialogs when reloading them.
BUG: (1/3/08, DN) Crash reading HSpice ".mt0" file.
FIX: In HSpiceOut.addMeasurmentData prepare common "time" array.
IMP: (1/3/08, SMR) Improved "Select All/Next/Previous Like This" to handle
text attributes (Bug #1519).
IMP: (1/3/08, DN) All *Id modules are moved to database.id package.
BUG: (1/3/08, SMR) Geometry extraction fails to handle rotated contacts (Bug #1475).
FIX: Added detection of asymetric contacts.
IMP: (12/28/07, DN) Offscreen buffer in waveform window is VolatileImage for faster repaint.
BUG: (12/27/07, DN) Dragging main/ext cursors in waveform window is slow when waveforms are large.
FIX: In user.waveform.Panel paint cursors directly to screen instead of offscreen buffer.
BUG: (12/26/07, DN) Ploting of .ac0 (ac analysis ) not working properly (Bug #1522).
FIX: Refactor simulation code so that it handles complex waveforms.
Only amplitude plot is shown. Phase information is available internally,
but GUI is still to be changed to show it.
IMP: (12/20/07, RK) Fix NCC regressions. qFourP2 had arc names that depended
upon case insensitive matching.
BUG: (12/13/07, SMR) Spice still writes too many "'" wrappers (Bug #1452).
FIX: In Spice:replacePortsAndVars() to add quotes only if not already in them.
BUG: (12/17/07, SMR) EDIF input is inconsistent with cell names that start
with an ampersand (Bug #1455 in the old Bugzilla, #1467 in the new one).
FIX: In EDIF input, all cell names, port names, and net names that start
with & and a number have the ampersand removed.
BUG: (12/17/07, SMR) VHDL generation of layout transistors may miss the poly connection.
FIX: In GenerateVHDL.addRealPorts(), consider topological equivalences.
IMP: (12/05/07, DN) Only arcs with same name (in case-sensitive sense) are connected into same network.
Warning if there are arcs with case-insensitive match. (Bug #1398).
For a complete history of changes, going back to the origins of Electric in the early 1980s,
contact Static Free Software.
|