File: pc-4.svhdl

package info (click to toggle)
faumachine 20180503-4
  • links: PTS
  • area: main
  • in suites: buster
  • size: 61,272 kB
  • sloc: ansic: 272,290; makefile: 6,199; asm: 4,251; sh: 3,022; perl: 886; xml: 563; pascal: 311; lex: 214; vhdl: 204
file content (424 lines) | stat: -rw-r--r-- 11,044 bytes parent folder | download
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
--
-- Copyright (C) 2004-2009 FAUmachine Team <info@faumachine.org>.
-- This program is free software. You can redistribute it and/or modify it
-- under the terms of the GNU General Public License, either version 2 of
-- the License, or (at your option) any later version. See COPYING.
--

entity system is
end system;

architecture structural of system is
	signal ext_eth0 : eth;
	signal ext_usb0 : usb_bus;
	signal ext_usb1 : usb_bus;
	signal ext_par : parallel;
	signal ext_ser0 : serial;
	signal ext_ser1 : serial;

	signal power_switch : boolean;
	signal power_button : boolean;
	signal reset_button : boolean;

	signal media_cd : magneto_optical;
	signal cdrom : boolean;
	signal floppy : boolean;

	signal key0 : boolean;
	signal key1 : boolean;
	signal key2 : boolean;
	signal key3 : boolean;
	signal key4 : boolean;
	signal key5 : boolean;
	signal key6 : boolean;
	signal key7 : boolean;
	signal key8 : boolean;
	signal key9 : boolean;
	signal key10 : boolean;
	signal key11 : boolean;
	signal key12 : boolean;
	signal key13 : boolean;
	signal key14 : boolean;
	signal key15 : boolean;
	signal key16 : boolean;
	signal key17 : boolean;
	signal key18 : boolean;
	signal key19 : boolean;
	signal key20 : boolean;
	signal key21 : boolean;
	signal key22 : boolean;
	signal key23 : boolean;
	signal key24 : boolean;
	signal key25 : boolean;
	signal key26 : boolean;
	signal key27 : boolean;
	signal key28 : boolean;
	signal key29 : boolean;
	signal key30 : boolean;
	signal key31 : boolean;
	signal key32 : boolean;
	signal key33 : boolean;
	signal key34 : boolean;
	signal key35 : boolean;
	signal key36 : boolean;
	signal key37 : boolean;
	signal key38 : boolean;
	signal key39 : boolean;
	signal key40 : boolean;
	signal key41 : boolean;
	signal key42 : boolean;
	signal key43 : boolean;
	signal key44 : boolean;
	signal key45 : boolean;
	signal key46 : boolean;
	signal key47 : boolean;
	signal key48 : boolean;
	signal key49 : boolean;
	signal key50 : boolean;
	signal key51 : boolean;
	signal key52 : boolean;
	signal key53 : boolean;
	signal key54 : boolean;
	signal key55 : boolean;
	signal key56 : boolean;
	signal key57 : boolean;
	signal key58 : boolean;
	signal key59 : boolean;
	signal key60 : boolean;
	signal key61 : boolean;
	signal key62 : boolean;
	signal key63 : boolean;
	signal key64 : boolean;
	signal key65 : boolean;
	signal key66 : boolean;
	signal key67 : boolean;
	signal key68 : boolean;
	signal key69 : boolean;
	signal key70 : boolean;
	signal key71 : boolean;
	signal key72 : boolean;
	signal key73 : boolean;
	signal key74 : boolean;
	signal key75 : boolean;
	signal key76 : boolean;
	signal key77 : boolean;
	signal key78 : boolean;
	signal key79 : boolean;
	signal key80 : boolean;
	signal key81 : boolean;
	signal key82 : boolean;
	signal key83 : boolean;
	signal key84 : boolean;
	signal key85 : boolean;
	signal key86 : boolean;
	signal key87 : boolean;
	signal key88 : boolean;
	signal key89 : boolean;
	signal key90 : boolean;
	signal key91 : boolean;
	signal key92 : boolean;
	signal key93 : boolean;
	signal key94 : boolean;
	signal key95 : boolean;
	signal key96 : boolean;
	signal key97 : boolean;
	signal key98 : boolean;
	signal key99 : boolean;
	signal key100 : boolean;
	signal key101 : boolean;
	signal key102 : boolean;
	signal key103 : boolean;
	signal key104 : boolean;
	signal key105 : boolean;
	signal key106 : boolean;
	signal key107 : boolean;
	signal key108 : boolean;
	signal key109 : boolean;
	signal key110 : boolean;
	signal key111 : boolean;
	signal key112 : boolean;
	signal key113 : boolean;
	signal key114 : boolean;
	signal key115 : boolean;
	signal key116 : boolean;
	signal key117 : boolean;
	signal key118 : boolean;
	signal key119 : boolean;
	signal key120 : boolean;
	signal key121 : boolean;
	signal key122 : boolean;
	signal key123 : boolean;
	signal key124 : boolean;
	signal key125 : boolean;
	signal key126 : boolean;
	signal key127 : boolean;

	signal mouse_dx : integer;
	signal mouse_dy : integer;
	signal mouse_dz : integer;
	signal mouse_button1 : boolean;
	signal mouse_button2 : boolean;
	signal mouse_button3 : boolean;
	signal mouse_button4 : boolean;
	signal mouse_button5 : boolean;

	signal screen_shot : boolean;

	signal opt_power_led : boolean;
	signal opt_ide_led : boolean;
	signal opt_eth_busy_led : boolean;
	signal opt_floppy_busy_led : boolean;
	signal opt_num_led : boolean;
	signal opt_caps_led : boolean;
	signal opt_scroll_led : boolean;
	signal opt_screen : opt_rgb;
	signal audio_out : sound;

	signal power_board : power_board;
	signal power_dev0 : power_device;
	signal power_dev1 : power_device;
	signal power_dev2 : power_device;
	signal power_dev3 : power_device;
	signal power_dev4 : power_device;
	signal power_dev5 : power_device;
	signal power_dev6 : power_device;
	signal power_dev7 : power_device;

	signal int_cpu0 : host_bus;
	signal int_cpu1 : host_bus;
	signal int_mem0 : mem_bus;
	signal int_mem1 : mem_bus;
	signal int_mem2 : mem_bus;
	signal int_mem3 : mem_bus;
	signal int_agp : agp_bus;
	signal int_pci0 : pci_bus;
	signal int_pci1 : pci_bus;
	signal int_pci2 : pci_bus;
	signal int_pci3 : pci_bus;
	signal int_isa0 : isa_bus;
	signal int_isa1 : isa_bus;
	signal int_isa2 : isa_bus;
	signal int_ide0 : ide_bus;
	signal int_ide1 : ide_bus;
	signal int_kbd : ps2;
	signal int_mouse : ps2;
	signal int_shugart : shugart_bus;
	signal int_n_power_button : std_logic;
	signal int_n_reset_button : std_logic;
	signal int_vga : vga;
	signal int_power_led : std_logic;
	signal int_ide_led : std_logic;
	signal int_speaker : sound;
	signal int_power_230v : boolean;
	signal int_scsi : scsi_bus;
	signal int_disk_fault : boolean;
	signal int_block_fault : boolean;
	signal int_fault : boolean;

begin
	chassis : chassis
		port map(
			mech_power_button => power_button,
			n_power_button => int_n_power_button,
			mech_reset_button => reset_button,
			n_reset_button => int_n_reset_button,
			opt_power_led => opt_power_led,
			power_led => int_power_led,
			opt_ide_led => opt_ide_led,
			ide_led => int_ide_led,
			audio_speaker => audio_out,
			speaker => int_speaker
		);
	power_supply : power_supply
		port map(
			mech_power_switch => power_switch,
			power_board => power_board,
			power_dev0 => power_dev0,
			power_dev1 => power_dev1,
			power_dev2 => power_dev2,
			power_dev3 => power_dev3,
			power_dev4 => power_dev4,
			power_dev5 => power_dev5,
			power_dev6 => power_dev6,
			power_dev7 => power_dev7,
			power_230v => int_power_230v
		);
	motherboard : mb_ga_686dlx
		port map(
			power => power_board,
			cpu0 => int_cpu0,
			cpu1 => int_cpu1,
			mem0 => int_mem0,
			mem1 => int_mem1,
			mem2 => int_mem2,
			mem3 => int_mem3,
			agp => int_agp,
			pci0 => int_pci0,
			pci1 => int_pci1,
			pci2 => int_pci2,
			pci3 => int_pci3,
			isa0 => int_isa0,
			isa1 => int_isa1,
			isa2 => int_isa2,
			ide0 => int_ide0,
			ide1 => int_ide1,
			usb0 => ext_usb0,
			usb1 => ext_usb1,
			ser0 => ext_ser0,
			ser1 => ext_ser1,
			par => ext_par,
			keyboard => int_kbd,
			mouse => int_mouse,
			speaker => int_speaker,
			shugart => int_shugart,
			n_power_button => int_n_power_button,
			n_reset_button => int_n_reset_button,
			power_led => int_power_led,
			ide_led => int_ide_led,
			scsi => int_scsi
		);
	cpu0 : cpu_intel_80686_klamath
		port map(
			conn => int_cpu0
		);
	mem0 : memory
		generic map(
			size => 256
		)
		port map(
			conn => int_mem0
		);
	video : pci_cirrus_gd5446
		port map(
			pci => int_pci0,
			vga => int_vga
		);
	eth0 : pci_intel_eepro100
		port map(
			pci => int_pci1,
			network => ext_eth0,
			opt_busy_led => opt_eth_busy_led
		);
	keyboard : keyboard
		port map(
			ps2 => int_kbd,
			opt_num_led => opt_num_led,
			opt_caps_led => opt_caps_led,
			opt_scroll_led => opt_scroll_led,
			key0 => key0, key1 => key1,
			key2 => key2, key3 => key3,
			key4 => key4, key5 => key5,
			key6 => key6, key7 => key7,
			key8 => key8, key9 => key9,
			key10 => key10, key11 => key11,
			key12 => key12, key13 => key13,
			key14 => key14, key15 => key15,
			key16 => key16, key17 => key17,
			key18 => key18, key19 => key19,
			key20 => key20, key21 => key21,
			key22 => key22, key23 => key23,
			key24 => key24, key25 => key25,
			key26 => key26, key27 => key27,
			key28 => key28, key29 => key29,
			key30 => key30, key31 => key31,
			key32 => key32, key33 => key33,
			key34 => key34, key35 => key35,
			key36 => key36, key37 => key37,
			key38 => key38, key39 => key39,
			key40 => key40, key41 => key41,
			key42 => key42, key43 => key43,
			key44 => key44, key45 => key45,
			key46 => key46, key47 => key47,
			key48 => key48, key49 => key49,
			key50 => key50, key51 => key51,
			key52 => key52, key53 => key53,
			key54 => key54, key55 => key55,
			key56 => key56, key57 => key57,
			key58 => key58, key59 => key59,
			key60 => key60, key61 => key61,
			key62 => key62, key63 => key63,
			key64 => key64, key65 => key65,
			key66 => key66, key67 => key67,
			key68 => key68, key69 => key69,
			key70 => key70, key71 => key71,
			key72 => key72, key73 => key73,
			key74 => key74, key75 => key75,
			key76 => key76, key77 => key77,
			key78 => key78, key79 => key79,
			key80 => key80, key81 => key81,
			key82 => key82, key83 => key83,
			key84 => key84, key85 => key85,
			key86 => key86, key87 => key87,
			key88 => key88, key89 => key89,
			key90 => key90, key91 => key91,
			key92 => key92, key93 => key93,
			key94 => key94, key95 => key95,
			key96 => key96, key97 => key97,
			key98 => key98, key99 => key99,
			key100 => key100, key101 => key101,
			key102 => key102, key103 => key103,
			key104 => key104, key105 => key105,
			key106 => key106, key107 => key107,
			key108 => key108, key109 => key109,
			key110 => key110, key111 => key111,
			key112 => key112, key113 => key113,
			key114 => key114, key115 => key115,
			key116 => key116, key117 => key117,
			key118 => key118, key119 => key119,
			key120 => key120, key121 => key121,
			key122 => key122, key123 => key123,
			key124 => key124, key125 => key125,
			key126 => key126, key127 => key127
		);
	mouse : ps2_gen_mouse_4
		port map(
			ps2 => int_mouse,
			deltax => mouse_dx,
			deltay => mouse_dy,
			deltaz => mouse_dz,
			button1 => mouse_button1,
			button2 => mouse_button2,
			button3 => mouse_button3,
			button4 => mouse_button4,
			button5 => mouse_button5
		);
	monitor : monitor
		port map(
			vga => int_vga,
			opt_video => opt_screen,
			screen_shot => screen_shot,
			power_230v => int_power_230v
		);
	floppy_drive : floppy_gen_floppydrive
		generic map(
			unit => 0
		)
		port map(
			power => power_dev2,
			shugart => int_shugart,
			opt_busy_led => opt_floppy_busy_led,
			change => floppy
		);
	hda : ide_gen_disk
		generic map(
			unit => 0,
			size => 2048
		)
		port map(
			power => power_dev0,
			ide => int_ide0,
			disk_fault => int_disk_fault,
			block_fault => int_block_fault
		);
	hdc : ide_gen_cdrom
		generic map(
			unit => 0
		)
		port map(
			power => power_dev1,
			ide => int_ide1,
			media => media_cd,
			change => cdrom,
			fault => int_fault
		);
end structural;