File: repro.vhdl

package info (click to toggle)
ghdl 5.0.1%2Bdfsg-1
  • links: PTS, VCS
  • area: main
  • in suites: forky, sid, trixie
  • size: 86,000 kB
  • sloc: ada: 309,826; vhdl: 209,727; ansic: 31,072; python: 19,213; sh: 14,214; cpp: 2,345; makefile: 1,542; pascal: 585; asm: 45; exp: 40; fortran: 33
file content (70 lines) | stat: -rw-r--r-- 1,337 bytes parent folder | download
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
library ieee;
use ieee.std_logic_1164.all;

package pkg is
  type myrec is record
    a : std_logic;
    b : std_logic_vector(15 downto 0);
  end record;

  constant init : myrec := ('0', x"5678");
end pkg;

library ieee;
use ieee.std_logic_1164.all;
use work.pkg.all;

entity repro_sub is
  generic (mux : natural);
  port (a : std_logic_vector(15 downto 0);
        o : out std_logic_vector(15 downto 0));
end;

architecture behav of repro_sub is
begin
  process (a)
    variable r : myrec := init;
  begin
    r := (a => '0',
          b => a);
    o <= r.b;
  end process;
end behav;

library ieee;
use ieee.std_logic_1164.all;

entity repro_ext is
  port (a : std_logic_vector(31 downto 0);
        o : out std_logic_vector(31 downto 0));
end;

architecture behav of repro_ext is
  signal s1, s2: std_logic_vector(31 downto 0);
begin
  s1 <= a;
  s2 <= s1;
  o <= s2;
end;

library ieee;
use ieee.std_logic_1164.all;

entity repro is
  port (a : std_logic_vector(15 downto 0);
        o1, o2 : out std_logic_vector(15 downto 0));
end;

architecture behav of repro is
  signal t : std_logic_vector(31 downto 0);
begin
  inst1: entity work.repro_sub
    generic map (4)
    port map (a, o1);
  inst0: entity work.repro_ext
    port map (x"1111_2222", t);
  inst2: entity work.repro_sub
    generic map (0)
    port map (a, o2);
end;