1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38
|
module tb_module01b;
reg clk;
reg rst;
wire [7:0] val;
wire one;
module01 dut (.clk(clk), .rst(rst), .val(val), .one(one));
initial begin
rst <= 1;
clk <= 0;
#1;
clk <= 1;
#1;
$display("val=%b", val);
if (val !== 8'h0 || one != 0)
$fatal(1, "FAILURE");
rst <= 0;
clk <= 0;
# 1;
clk <= 1;
#1;
if (val !== 8'h1 || one != 1)
$fatal(1, "FAILURE");
clk <= 0;
# 1;
clk <= 1;
#1;
if (val !== 8'h2 || one != 0)
$fatal(1, "FAILURE");
$display("PASS");
$finish;
end
endmodule
|