File: inc_ifdef.v

package info (click to toggle)
libverilog-perl 3.460-1
  • links: PTS, VCS
  • area: main
  • in suites: buster
  • size: 4,188 kB
  • sloc: perl: 8,640; yacc: 3,362; cpp: 2,262; lex: 1,487; makefile: 8; fortran: 3
file content (40 lines) | stat: -rw-r--r-- 739 bytes parent folder | download | duplicates (7)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
// DESCRIPTION: Verilog::Preproc: Example source code
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2000-2012 by Wilson Snyder.

`define EMPTY_TRUE
`ifndef EMPTY_TRUE
  `error "Empty is still true"
`endif

`define A
`ifdef A
  $display("1A");
 `ifdef C
  $display("%Error: 2C");
 `elsif A
  $display("2A");
  `ifdef C
  $display("%Error: 3C");
  `elsif B
  $display("%Error: 3B");
  `else
  $display("3AELSE");
  `endif
 `else
  $display("%Error: 2ELSE");
 `endif
`elsif B
  $display("%Error: 1B");
  `ifdef A
  $display("%Error: noC");
  `elsif A
  $display("%Error: noB");
  `else
  $display("%Error: noELSE");
  `endif
`elsif C
  $display("%Error: 1C");
`else
  $display("%Error: 1ELSE");
`endif