1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362
|
verilog/inc_nonl.v:1: `line 1 "verilog/inc1.v" 1
verilog/inc_nonl.v:1: `line 1 "verilog/inc1.v" 0
verilog/inc_nonl.v:1: `line 1 "verilog/inc2.v" 1
verilog/inc_nonl.v:1: `line 1 "verilog/inc2.v" 0
verilog/inc_nonl.v:1: `line 1 "verilog/inc_ifdef.v" 1
verilog/inc_nonl.v:1: `line 1 "verilog/inc_ifdef.v" 0
verilog/inc_nonl.v:1: `line 1 "verilog/inc_nonl.v" 1
verilog/inc_nonl.v:1: `line 1 "verilog/inc_nonl.v" 0
verilog/inc_nonl.v:1: `line 1 "verilog/inc_def09.v" 1
verilog/inc_def09.v:11: 'initial $display("start", "msg1" , "msg2", "end");'
verilog/inc_def09.v:12: 'initial $display("start", "msg1" , "msg2" , "end");'
verilog/inc_def09.v:13: 'initial $display("start", " msg1" , , "end");'
verilog/inc_def09.v:14: 'initial $display("start", " msg1" , , "end");'
verilog/inc_def09.v:15: 'initial $display("start", , "msg2 ", "end");'
verilog/inc_def09.v:16: 'initial $display("start", , "msg2 ", "end");'
verilog/inc_def09.v:17: 'initial $display("start", , , "end");'
verilog/inc_def09.v:18: 'initial $display("start", , , "end");'
verilog/inc_def09.v:19: 'initial $display("start", , , "end");'
verilog/inc_def09.v:20: 'initial $display("start", , , "end");'
verilog/inc_def09.v:27: '$display(5,,2,,3);'
verilog/inc_def09.v:28: '$display(5,,2,,3);'
verilog/inc_def09.v:29: '$display(1,,"B",,3);'
verilog/inc_def09.v:30: '$display(1 ,,"B",,3 );'
verilog/inc_def09.v:31: '$display(5,,2,,);'
verilog/inc_def09.v:32: '$display(5,,2,,);'
verilog/inc_def09.v:36: '$display(1,,,,3);'
verilog/inc_def09.v:37: '$display(5,,,,"C");'
verilog/inc_def09.v:38: '$display(5,,2,,"C");'
verilog/inc_def09.v:39: '$display(5,,2,,"C");'
verilog/inc_def09.v:40: '$display(5,,2,,"C");'
verilog/inc_def09.v:41: '$display(5,,2,,"C");'
verilog/inc_def09.v:44: '$display(1,,0,,"C");'
verilog/inc_def09.v:45: '$display(1 ,,0,,"C");'
verilog/inc_def09.v:46: '$display(5,,0,,"C");'
verilog/inc_def09.v:47: '$display(5,,0,,"C");'
verilog/inc_def09.v:51: 'b + 1 + 42 + a'
verilog/inc_def09.v:52: 'b + 1 + 42 + a'
verilog/inc_def09.v:56: '"==)" "((((" () ';
verilog/inc_def09.v:57: '"==)" "((((" () ';
verilog/inc_def09.v:70: '(6) (eq=al) ZOT'
verilog/inc_def09.v:71: HERE-71 - Line71
verilog/inc_def09.v:75: `line 75 "verilog/inc_def09.v" 2
verilog/inc_nonl.v:1: `line 1 "verilog/inc_nonl.v" 0
verilog/inc_nonl.v:2: blah-no-newline-here>
verilog/inc_nonl.v:3: `line 3 "verilog/inc_nonl.v" 2
verilog/inc_ifdef.v:1: `line 1 "verilog/inc_ifdef.v" 0
verilog/inc_ifdef.v:12: $display("1A");
verilog/inc_ifdef.v:16: $display("2A");
verilog/inc_ifdef.v:22: $display("3AELSE");
verilog/inc_ifdef.v:42: `line 42 "verilog/inc_ifdef.v" 2
verilog/inc2.v:1: `line 1 "verilog/inc2.v" 0
verilog/inc2.v:4: At file "verilog/inc2.v" line 4
verilog/inc2.v:5: `line 5 "verilog/inc2.v" 0
verilog/inc2.v:5: `line 1 "verilog/t_preproc_inc3.vh" 1
verilog/t_preproc_inc3.vh:1: `line 2 "inc3_a_filename_from_line_directive" 0
inc3_a_filename_from_line_directive:10: At file "inc3_a_filename_from_line_directive" line 10
inc3_a_filename_from_line_directive:19: `line 19 "inc3_a_filename_from_line_directive" 2
verilog/inc2.v:5: `line 5 "verilog/inc2.v" 0
verilog/inc2.v:7: `line 7 "verilog/inc2.v" 2
verilog/inc1.v:1: `line 1 "verilog/inc1.v" 0
verilog/inc1.v:4: text.
verilog/inc1.v:15: wire [3:0] q = {
verilog/inc1.v:16: 1'b1 ,
verilog/inc1.v:17: 1'b0 ,
verilog/inc1.v:18: 1'b1 ,
verilog/inc1.v:19: 1'b0
verilog/inc1.v:20: };
verilog/inc1.v:22: text.
verilog/inc1.v:26: foo bar
verilog/inc1.v:27: foobar2
verilog/inc1.v:39: first part
verilog/inc1.v:39: `line 39 "verilog/inc1.v" 0
verilog/inc1.v:39: second part
verilog/inc1.v:39: `line 39 "verilog/inc1.v" 0
verilog/inc1.v:39: third part
verilog/inc1.v:40: {
verilog/inc1.v:40: `line 40 "verilog/inc1.v" 0
verilog/inc1.v:40: a,
verilog/inc1.v:40: `line 40 "verilog/inc1.v" 0
verilog/inc1.v:40: b,
verilog/inc1.v:40: `line 40 "verilog/inc1.v" 0
verilog/inc1.v:40: c}
verilog/inc1.v:41: Line_Preproc_Check 41
verilog/inc1.v:49: deep deep
verilog/inc1.v:53: "Inside: `nosubst"
verilog/inc1.v:54: "`nosubst"
verilog/inc1.v:57: x y LLZZ x y
verilog/inc1.v:58: p q LLZZ p q r s LLZZ r s LLZZ p q LLZZ p q r s LLZZ r s
verilog/inc1.v:62: firstline comma","line LLZZ firstline comma","line
verilog/inc1.v:65: x y LLZZ "x" y
verilog/inc1.v:68: (a,b)(a,b)
verilog/inc1.v:71: $display("left side: \"right side\"")
verilog/inc1.v:74: bar_suffix more
verilog/inc1.v:78: `line 78 "verilog/inc1.v" 0
verilog/inc1.v:78: $c("Zap(\"",bug1,"\");");;
verilog/inc1.v:79: `line 79 "verilog/inc1.v" 0
verilog/inc1.v:79: $c("Zap(\"","bug2","\");");;
verilog/inc1.v:93: initial begin
verilog/inc1.v:95: $display("pre thrupre thrumid thrupost post: \"right side\"");
verilog/inc1.v:96: $display("left side: \"right side\"");
verilog/inc1.v:97: $display("left side: \"right side\"");
verilog/inc1.v:98: $display("left_side: \"right_side\"");
verilog/inc1.v:99: $display("na: \"right_side\"");
verilog/inc1.v:100: $display("prep ( midp1 left_side midp2 ( outp ) ): \"right_side\"");
verilog/inc1.v:101: $display("na: \"nana\"");
verilog/inc1.v:102: $display("left_side right_side: \"left_side right_side\"");
verilog/inc1.v:103: $display(": \"\"");
verilog/inc1.v:104: $display("left side: \"right side\"");
verilog/inc1.v:105: $display("left side: \"right side\"");
verilog/inc1.v:106: $display("standalone");
verilog/inc1.v:111: $display("twoline: \"first second\"");
verilog/inc1.v:113: $write("*-* All Finished *-*\n");
verilog/inc1.v:114: $finish;
verilog/inc1.v:115: end
verilog/inc1.v:116: endmodule
verilog/inc1.v:126: module add1 ( input wire d1, output wire o1);
verilog/inc1.v:127: `line 127 "verilog/inc1.v" 0
verilog/inc1.v:127: wire tmp_d1 = d1;
verilog/inc1.v:127: `line 127 "verilog/inc1.v" 0
verilog/inc1.v:127: wire tmp_o1 = tmp_d1 + 1;
verilog/inc1.v:127: `line 127 "verilog/inc1.v" 0
verilog/inc1.v:127: assign o1 = tmp_o1 ;
verilog/inc1.v:128: endmodule
verilog/inc1.v:129: module add2 ( input wire d2, output wire o2);
verilog/inc1.v:130: `line 130 "verilog/inc1.v" 0
verilog/inc1.v:130: wire tmp_d2 = d2;
verilog/inc1.v:130: `line 130 "verilog/inc1.v" 0
verilog/inc1.v:130: wire tmp_o2 = tmp_d2 + 1;
verilog/inc1.v:130: `line 130 "verilog/inc1.v" 0
verilog/inc1.v:130: assign o2 = tmp_o2 ;
verilog/inc1.v:131: endmodule
verilog/inc1.v:144: `line 144 "verilog/inc1.v" 0
verilog/inc1.v:144: generate for (i=0; i<(3); i=i+1) begin
verilog/inc1.v:144: `line 144 "verilog/inc1.v" 0
verilog/inc1.v:144: psl cover { m5k.f .ctl._ctl_mvldx_m1.d[i] & ~m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoRise: m5kc_fcl._ctl_mvldx_m1";
verilog/inc1.v:144: `line 144 "verilog/inc1.v" 0
verilog/inc1.v:144: psl cover { ~m5k.f .ctl._ctl_mvldx_m1.d[i] & m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoFall: m5kc_fcl._ctl_mvldx_m1";
verilog/inc1.v:144: `line 144 "verilog/inc1.v" 0
verilog/inc1.v:144: end endgenerate
verilog/inc1.v:148: module prot();
verilog/inc1.v:149: `protected
verilog/inc1.v:150: I!#r#e6<_Q{{E2+]I3<[3s)1@D|'E''i!O?]jD>Jo_![Cl)
verilog/inc1.v:151: #nj1]p,3^1~,="E@QZB\T)eU\pC#C|7=\$J$##A[@-@{Qk]
verilog/inc1.v:152: `endprotected
verilog/inc1.v:153: endmodule
verilog/inc1.v:155: module prot2();
verilog/inc1.v:156: `pragma protect begin_protected
verilog/inc1.v:157: `pragma protect encrypt_agent = "Whatever agent"
verilog/inc1.v:158: `pragma protect encrypt_agent_info = "1.2.3"
verilog/inc1.v:159: `pragma protect data_method = "aes128-cbc"
verilog/inc1.v:160: `pragma protect key_keyowner = "Someone"
verilog/inc1.v:161: `pragma protect key_keyname = "somekey", key_method = "rsa"
verilog/inc1.v:162: `pragma protect key_block encoding = (enctype = "base64")
verilog/inc1.v:163: wefjosdfjklajklasjkl
verilog/inc1.v:164: `pragma protect data_block encoding = (enctype = "base64", bytes = 1059)
verilog/inc1.v:165: I!#r#e6<_Q{{E2+]I3<[3s)1@D|'E''i!O?]jD>Jo_![Cl)
verilog/inc1.v:166: #nj1]p,3^1~,="E@QZB\T)eU\pC#C|7=\$J$##A[@-@{Qk]
verilog/inc1.v:167: `pragma protect end_protected
verilog/inc1.v:168: `pragma reset protect
verilog/inc1.v:169: endmodule
verilog/inc1.v:171: module prot3();
verilog/inc1.v:172: //pragma protect begin_protected
verilog/inc1.v:173: //pragma protect key_keyowner=Cadence Design Systems.
verilog/inc1.v:174: //pragma protect key_keyname=CDS_KEY
verilog/inc1.v:175: //pragma protect key_method=RC5
verilog/inc1.v:176: //pragma protect key_block
verilog/inc1.v:177: zzZzZ/4ZzzZZZzzz4zZzZzZZZZzZzZ/Zz+33zZ2zz/zzzzzzzzZZZzZ4z+ZZZZz1
verilog/inc1.v:178: Z1ZzzzZZzZZzz9ZZZZ37zzZzZzZzzz9ZZzzZzZz9Zz64+z8Z7ZzZZZzzzzZZZzZz
verilog/inc1.v:179: zzZzZZZzZ0463zzzzzZzZ6z00z4zZzzZZzzZzzzZZ8zzz09ZzZZZZZ==
verilog/inc1.v:180: //pragma protect end_key_block
verilog/inc1.v:181: //pragma protect digest_block
verilog/inc1.v:182: ZzZZzzZ9ZZZZz2ZzzzZz/Zzzz8Z=
verilog/inc1.v:183: //pragma protect end_digest_block
verilog/inc1.v:184: //pragma protect data_block
verilog/inc1.v:185: ZZZ8zZzz6ZZ/zZZ5zZZzzz3ZzzzZzZZZ6ZzZzZZZZZz1zzZZZZ7ZZZZz3Zzz+9zz
verilog/inc1.v:186: 4zzz+8zZzzzzZzZZzzzZzz1Z7ZzZz+zZz8ZZZZzZ6ZzzZzZZzzZZzzZzzZzZzZzZ
verilog/inc1.v:187: ZzzzzZ0zZz1ZzzZzzZzZzz==
verilog/inc1.v:188: //pragma protect end_data_block
verilog/inc1.v:189: //pragma protect digest_block
verilog/inc1.v:190: Z4Z6zZzZ3Z7ZZ6zzZZZZzzzzZZZ=
verilog/inc1.v:191: //pragma protect end_digest_block
verilog/inc1.v:192: //pragma protect end_protected
verilog/inc1.v:193: endmodule
verilog/inc1.v:205: begin addr <= (({regs[6], regs[7]} + 1)); rd <= 1; end and begin addr <= (({regs[6], regs[7]})); wdata <= (rdata); wr <= 1; end
verilog/inc1.v:206: begin addr <= ({regs[6], regs[7]} + 1); rd <= 1; end
verilog/inc1.v:207: begin addr <= ({regs[6], regs[7]}); wdata <= (rdata); wr <= 1; end more
verilog/inc1.v:212: `line 212 "verilog/inc1.v" 0
verilog/inc1.v:212: `line 1 "verilog/t_preproc_inc4.vh" 1
verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
verilog/t_preproc_inc4.vh:7: `line 7 "verilog/t_preproc_inc4.vh" 2
verilog/inc1.v:212: `line 212 "verilog/inc1.v" 0
verilog/inc1.v:226: $blah("ab,cd","e,f");
verilog/inc1.v:227: $blah(this.logfile,vec);
verilog/inc1.v:228: $blah(this.logfile,vec[1,2,3]);
verilog/inc1.v:229: $blah(this.logfile,{blah.name(), " is not foo"});
verilog/inc1.v:234: `pragma foo = 1
verilog/inc1.v:235: `default_nettype none
verilog/inc1.v:236: `default_nettype uwire
verilog/inc1.v:245: Line_Preproc_Check 245
verilog/inc1.v:253: (p,q)
verilog/inc1.v:257: (x,y)
verilog/inc1.v:258: Line_Preproc_Check 258
verilog/inc1.v:267: beginend
verilog/inc1.v:268: beginend
verilog/inc1.v:269: "beginend"
verilog/inc1.v:275: `\esc`def
verilog/inc1.v:277: Not a \`define
verilog/inc1.v:285: x,y)--bee submacro has comma paren
verilog/inc1.v:290: $display("10 %d %d", $bits(foo), 10);
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:314: assign a3 = ~b3 ;
verilog/inc1.v:314: `line 314 "verilog/inc1.v" 0
verilog/inc1.v:316: `line 316 "verilog/inc1.v" 0
verilog/inc1.v:317: \
verilog/inc1.v:318: `line 318 "verilog/inc1.v" 0
verilog/inc1.v:325: `line 325 "verilog/inc1.v" 0
verilog/inc1.v:325: `line 325 "verilog/inc1.v" 0
verilog/inc1.v:325: `line 325 "verilog/inc1.v" 0
verilog/inc1.v:325: def i
verilog/inc1.v:325: `line 325 "verilog/inc1.v" 0
verilog/inc1.v:339: 1 (nodef)
verilog/inc1.v:340: 2 (hasdef)
verilog/inc1.v:341: 3 (nodef)
verilog/inc1.v:342: 4
verilog/inc1.v:342: `line 342 "verilog/inc1.v" 0
verilog/inc1.v:342: (nodef)
verilog/inc1.v:343: 5 also in
verilog/inc1.v:343: `line 343 "verilog/inc1.v" 0
verilog/inc1.v:343: also3 (nodef)
verilog/inc1.v:346: HAS a NEW
verilog/inc1.v:346: `line 346 "verilog/inc1.v" 0
verilog/inc1.v:346: LINE
verilog/inc1.v:366: EXP: clxx_scen
verilog/inc1.v:367: clxx_scen
verilog/inc1.v:368: EXP: clxx_scen
verilog/inc1.v:369: "clxx_scen"
verilog/inc1.v:371: EXP: do if (start("verilog/inc1.v", 25)) begin message({"Blah-", "clx_scen", " end"}); end while(0);
verilog/inc1.v:372: `line 372 "verilog/inc1.v" 0
verilog/inc1.v:372: do
verilog/inc1.v:372: `line 372 "verilog/inc1.v" 0
verilog/inc1.v:372: `line 372 "verilog/inc1.v" 0
verilog/inc1.v:372: while(0);
verilog/inc1.v:380: `line 380 "verilog/inc1.v" 0
verilog/inc1.v:380: `line 380 "verilog/inc1.v" 0
verilog/inc1.v:380: `line 380 "verilog/inc1.v" 0
verilog/inc1.v:383: EXP: This is fooed
verilog/inc1.v:384: This is fooed
verilog/inc1.v:385: EXP: This is fooed_2
verilog/inc1.v:386: This is fooed_2
verilog/inc1.v:390: np
verilog/inc1.v:391: np
verilog/inc1.v:414: hello3hello3hello3
verilog/inc1.v:415: hello4hello4hello4hello4
verilog/inc1.v:420: `line 420 "verilog/inc1.v" 0
verilog/inc1.v:420: `line 1 "verilog/t_preproc_inc4.vh" 1
verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
verilog/t_preproc_inc4.vh:7: `line 7 "verilog/t_preproc_inc4.vh" 2
verilog/inc1.v:420: `line 420 "verilog/inc1.v" 0
verilog/inc1.v:429: `line 429 "verilog/inc1.v" 0
verilog/inc1.v:433: Line_Preproc_Check 433
verilog/inc1.v:439: Line_Preproc_Check 439
verilog/inc1.v:441: "FOO \
verilog/inc1.v:441: BAR " "arg_line1 \
verilog/inc1.v:441: arg_line2" "FOO \
verilog/inc1.v:441: BAR "
verilog/inc1.v:442: `line 442 "verilog/inc1.v" 0
verilog/inc1.v:442: Line_Preproc_Check 442
verilog/inc1.v:451: abc
verilog/inc1.v:459: EXP: sonet_frame
verilog/inc1.v:460: sonet_frame
verilog/inc1.v:464: EXP: sonet_frame
verilog/inc1.v:465: sonet_frame
verilog/inc1.v:469: EXP: sonet_frame
verilog/inc1.v:470: sonet_frame
verilog/inc1.v:475: EXP: module zzz ; endmodule
verilog/inc1.v:476: module zzz ; endmodule
verilog/inc1.v:477: module zzz ; endmodule
verilog/inc1.v:480: EXP: module a_b ; endmodule
verilog/inc1.v:481: module a_b ; endmodule
verilog/inc1.v:482: module a_b ; endmodule
verilog/inc1.v:486: integer foo;
verilog/inc1.v:488: synth_test:
verilog/inc1.v:489: `line 489 "verilog/inc1.v" 0
verilog/inc1.v:492: EXP: on
verilog/inc1.v:495: module t;
verilog/inc1.v:502: initial begin : \`LEX_CAT(a[0],_assignment)
verilog/inc1.v:502: `line 502 "verilog/inc1.v" 0
verilog/inc1.v:502: $write("GOT%%m='%m' EXP='%s'\n", "t.\\`LEX_CAT(a[0],_assignment) "); end
verilog/inc1.v:509: initial begin : \a[0]_assignment_a[1]
verilog/inc1.v:509: `line 509 "verilog/inc1.v" 0
verilog/inc1.v:509: $write("GOT%%m='%m' EXP='%s'\n", "t.\\a[0]_assignment_a[1] "); end
verilog/inc1.v:516: initial begin : \`CAT(pp,suffix) $write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(pp,suffix) "); end
verilog/inc1.v:523: initial begin : \`CAT(ff,bb)
verilog/inc1.v:523: `line 523 "verilog/inc1.v" 0
verilog/inc1.v:523: $write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(ff,bb) "); end
verilog/inc1.v:529: initial begin : \`zzz
verilog/inc1.v:529: `line 529 "verilog/inc1.v" 0
verilog/inc1.v:529: $write("GOT%%m='%m' EXP='%s'\n", "t.\\`zzz "); end
verilog/inc1.v:536: initial begin : \`FOO
verilog/inc1.v:536: `line 536 "verilog/inc1.v" 0
verilog/inc1.v:536: $write("GOT%%m='%m' OTHER_EXP='%s'\n OUR_EXP='%s'", "t.bar ","t.\\`FOO "); end
verilog/inc1.v:538: initial begin : \xx`FOO
verilog/inc1.v:538: `line 538 "verilog/inc1.v" 0
verilog/inc1.v:538: $write("GOT%%m='%m' EXP='%s'\n", "t.\\xx`FOO "); end
verilog/inc1.v:543: initial begin : \`UNKNOWN $write("GOT%%m='%m' EXP='%s'\n", "t.\\`UNKNOWN "); end
verilog/inc1.v:547: initial begin : \`DEF_NO_EXPAND $write("GOT%%m='%m' EXP='%s'\n", "t.\\`DEF_NO_EXPAND "); end
verilog/inc1.v:553: initial $write("GOT='%s' EXP='%s'\n", "foo bar baz", "foo bar baz");
verilog/inc1.v:559: initial $write("GOT='%s' EXP='%s'\n", "foo `A(bar) baz", "foo `A(bar) baz");
verilog/inc1.v:564: initial $write("Slashed=`%s'\n", "1//2.3");
verilog/inc1.v:569: initial
verilog/inc1.v:569: `line 569 "verilog/inc1.v" 0
verilog/inc1.v:569: $display("%s%s","a1","b2c3\n");
verilog/inc1.v:570: endmodule
verilog/inc1.v:577: $display("RAM0");
verilog/inc1.v:578: $display("CPU");
verilog/inc1.v:586: XXE_FAMILY = XXE_
verilog/inc1.v:589: $display("XXE_ is defined");
verilog/inc1.v:593: XYE_FAMILY = XYE_
verilog/inc1.v:596: $display("XYE_ is defined");
verilog/inc1.v:600: XXS_FAMILY = XXS_some
verilog/inc1.v:603: $display("XXS_some is defined");
verilog/inc1.v:607: XYS_FAMILY = XYS_foo
verilog/inc1.v:610: $display("XYS_foo is defined");
verilog/inc1.v:650: (.mySig (myInterface.pa5),
verilog/inc1.v:656: `dbg_hdl(UVM_LOW, ("Functional coverage enabled: paramgrp"));
verilog/inc1.v:666: module pcc2_cfg;
verilog/inc1.v:667: generate
verilog/inc1.v:668: `line 668 "verilog/inc1.v" 0
verilog/inc1.v:668: covergroup a @(posedge b);
verilog/inc1.v:668: `line 668 "verilog/inc1.v" 0
verilog/inc1.v:668: c: coverpoint d iff ((c) === 1'b1); endgroup
verilog/inc1.v:668: `line 668 "verilog/inc1.v" 0
verilog/inc1.v:668: a u_a;
verilog/inc1.v:668: `line 668 "verilog/inc1.v" 0
verilog/inc1.v:668: initial do begin $display ("DEBUG : %s [%m]", $sformatf ("Functional coverage enabled: u_a")); end while(0);
verilog/inc1.v:669: endgenerate
verilog/inc1.v:670: endmodule
verilog/inc1.v:675: "`NOT_DEFINED_STR"
verilog/inc1.v:680: predef 0 0
verilog/inc1.v:681: predef 1 1
verilog/inc1.v:682: predef 2 2
verilog/inc1.v:683: predef 3 3
verilog/inc1.v:684: predef 10 10
verilog/inc1.v:685: predef 11 11
verilog/inc1.v:686: predef 20 20
verilog/inc1.v:687: predef 21 21
verilog/inc1.v:688: predef 22 22
verilog/inc1.v:689: predef 23 23
verilog/inc1.v:690: predef -2 -2
verilog/inc1.v:691: predef -1 -1
verilog/inc1.v:692: predef 0 0
verilog/inc1.v:693: predef 1 1
verilog/inc1.v:694: predef 2 2
verilog/inc1.v:696: `line 696 "verilog/inc1.v" 2
|