File: chdr_crossbar_nxn_top.tcl

package info (click to toggle)
uhd 3.13.1.0-3
  • links: PTS, VCS
  • area: main
  • in suites: buster
  • size: 207,120 kB
  • sloc: cpp: 167,245; ansic: 86,841; vhdl: 53,420; python: 40,839; xml: 13,167; tcl: 5,688; makefile: 2,167; sh: 1,719; pascal: 230; csh: 94; asm: 20; perl: 11
file content (18 lines) | stat: -rw-r--r-- 1,159 bytes parent folder | download | duplicates (2)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
#!/usr/bin/python3
#
# Copyright 2018 Ettus Research, a National Instruments Company
#
# SPDX-License-Identifier: LGPL-3.0-or-later
#

create_project tmp_proj -part xc7k410tffg900-3 -in_memory
add_files {chdr_crossbar_nxn_top.v ../chdr_crossbar_nxn.v ../axis_switch.v ../chdr_route_config.v ../chdr_xb_ingress_buff.v}
add_files {../../fifo/axi_fifo_flop.v ../../fifo/axi_fifo_flop2.v ../../fifo/axi_fifo.v ../../fifo/axi_mux_select.v ../../fifo/axi_fifo_bram.v ../../fifo/axi_fifo_cascade.v ../../fifo/axi_mux.v ../../fifo/axi_fifo_short.v ../../fifo/axi_demux.v ../../fifo/axi_packet_gate.v ../../control/map/cam_priority_encoder.v ../../control/map/cam_srl.v ../../control/map/cam_bram.v ../../control/map/cam.v ../../control/map/kv_map.v ../../control/map/axis_muxed_kv_map.v ../../control/ram_2port.v}
set_property top chdr_crossbar_nxn_top [current_fileset]
synth_design
create_clock -name clk -period 2.0 [get_ports clk]
report_utilization -no_primitives -file chdr_crossbar_nxn.rpt
report_timing_summary -setup -no_detailed_paths -no_header -datasheet -append -file chdr_crossbar_nxn.rpt
write_checkpoint -force chdr_crossbar_nxn.dcp
close_project
exit