1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95
|
//////////////////////////////////////////////////////////////////////////////////
// Copyright Ettus Research LLC
// The ZYNQ FIFO slave settings:
// - implements write state machine for 32-bit addressable AXI slave
// - provides settings for state of per-stream fifo pointers
// - implements configuration of FIFO's physical DDR addresses
//////////////////////////////////////////////////////////////////////////////////
module zf_slave_settings
#(
parameter CONFIG_BASE = 32'h40000000
)
(
input clk,
input rst,
//------------------------------------------------------------------
//-- control write signals - slave
//------------------------------------------------------------------
input [31:0] AXI_AWADDR,
input AXI_AWVALID,
output AXI_AWREADY,
input [31:0] AXI_WDATA,
input [3:0] AXI_WSTRB,
input AXI_WVALID,
output AXI_WREADY,
output [1:0] AXI_BRESP,
output AXI_BVALID,
input AXI_BREADY,
//------------------------------------------------------------------
// settings interface
//------------------------------------------------------------------
output reg [31:0] addr,
output reg [31:0] data,
output strobe,
output [31:0] debug
);
////////////////////////////////////////////////////////////////////////
///////////////////////////// Begin R T L //////////////////////////////
////////////////////////////////////////////////////////////////////////
//------------------------------------------------------------------
// Control write state machine responds to AXI control writes
// Used for setting the state of the various FIFOs
//------------------------------------------------------------------
localparam STATE_ADDR = 0;
localparam STATE_DATA = 1;
localparam STATE_WRITE = 2;
reg [1:0] state;
always @(posedge clk) begin
if (rst) begin
state <= STATE_ADDR;
addr <= 0;
data <= 0;
end
else case (state)
STATE_ADDR: begin
if (AXI_AWVALID && AXI_AWREADY) begin
addr <= (AXI_AWADDR - CONFIG_BASE);
state <= STATE_DATA;
end
end
STATE_DATA: begin
if (AXI_WVALID && AXI_WREADY) begin
data <= AXI_WDATA;
state <= STATE_WRITE;
end
end
STATE_WRITE: begin
state <= STATE_ADDR;
end
default: state <= STATE_ADDR;
endcase //state
end
assign strobe = (state == STATE_WRITE);
//assign to slave write
assign AXI_AWREADY = (state == STATE_ADDR);
assign AXI_WREADY = (state == STATE_DATA);
assign AXI_BRESP = 0;
assign AXI_BVALID = AXI_BREADY; //FIXME - we can choose not to assert valid
endmodule //zf_slave_settings
|