File: t_var_nonamebegin.v

package info (click to toggle)
verilator 3.833-1
  • links: PTS, VCS
  • area: main
  • in suites: wheezy
  • size: 10,196 kB
  • sloc: cpp: 49,566; perl: 7,111; yacc: 2,221; lex: 1,702; makefile: 651; sh: 175
file content (74 lines) | stat: -rw-r--r-- 1,186 bytes parent folder | download | duplicates (5)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
module t (/*AUTOARG*/
   // Inputs
   clk, reset_l
   );

   input	clk;
   input	reset_l;

   reg 		inmod;

   generate
      if (1) begin
	 // Traces as genblk1.ingen
	 integer ingen;
	 initial $display("ingen: {mod}.genblk1 %m");
      end
   endgenerate

   integer 	 rawmod;

   initial begin
      begin
	 integer upa;
	 begin : d3nameda
	    // %m='.d3nameda'  var=_unnamed#.d3nameda.b1
	    integer d3a;
	    $display("d3a: {mod}.d3nameda %m");
	 end
      end
   end
   initial begin
      integer b2;
      $display("b2: {mod} %m");
      begin : b3named
	 integer b3n;
	 $display("b3n: {mod}.b3named: %m");
      end
      if (1) begin
	 integer b3;
	 $display("b3: {mod} %m");
	 if (1) begin
	    begin
	       begin
		  begin
		     integer b4;
		     $display("b4: {mod} %m");
		  end
	       end
	    end
	 end
	 else begin
	    integer b4;
	    $display("bb %m");
	 end
      end
      else begin
	 integer b4;
	 $display("b4 %m");
      end
      tsk;
      $write("*-* All Finished *-*\n");
      $finish;
   end

   task tsk;
      integer t1;
      $display("t1 {mod}.tsk %m");
      begin
	 integer t2;
	 $display("t2 {mod}.tsk %m");
      end
   endtask

endmodule