File: t_class_local.v

package info (click to toggle)
verilator 5.038-1
  • links: PTS, VCS
  • area: main
  • in suites: forky, sid
  • size: 162,552 kB
  • sloc: cpp: 139,204; python: 20,931; ansic: 10,222; yacc: 6,000; lex: 1,925; makefile: 1,260; sh: 494; perl: 282; fortran: 22
file content (125 lines) | stat: -rw-r--r-- 3,011 bytes parent folder | download
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2020 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0

class Cls;
   typedef enum {A = 10, B = 20, C = 30} en_t;

   int m_pub = 1;
   local int m_loc = 2;
   protected int m_prot = B;
   task f_pub; endtask
   local task f_loc; endtask
   protected task f_prot; endtask
   static task s_pub; endtask
   static local task s_loc; endtask
   static protected task s_prot; endtask
   task check;
      Cls o;
      if (m_pub != 1) $stop;
      if (m_loc != 2) $stop;
      if (m_prot != 20) $stop;
      f_pub();  // Ok
      f_loc();  // Ok
      f_prot();  // Ok
      s_pub();  // Ok
      s_loc();  // Ok
      s_prot();  // Ok
      Cls::s_pub();  // Ok
      Cls::s_loc();  // Ok
      Cls::s_prot();  // Ok
   endtask
   class InnerCls;
      typedef enum {A = 10, B = 20, C = 30} en_t;

      int m_pub = 1;
      local int m_loc = 2;
      protected int m_prot = B;
      task f_pub; endtask
      local task f_loc; endtask
      protected task f_prot; endtask
      static task s_pub; endtask
      static local task s_loc; endtask
      static protected task s_prot; endtask
      task check;
         Cls o;
         if (m_pub != 1) $stop;
         if (m_loc != 2) $stop;
         if (m_prot != 20) $stop;
         f_pub();  // Ok
         f_loc();  // Ok
         f_prot();  // Ok
         s_pub();  // Ok
         s_loc();  // Ok
         s_prot();  // Ok
         Cls::s_pub();  // Ok
         Cls::s_loc();  // Ok
         Cls::s_prot();  // Ok
      endtask
   endclass
endclass

class Ext extends Cls;
   task check;
      if (m_pub != 1) $stop;
      if (m_prot != 20) $stop;
      f_pub();  // Ok
      f_prot();  // Ok
      s_pub();  // Ok
      s_prot();  // Ok
      Cls::s_pub();  // Ok
      Cls::s_prot();  // Ok
   endtask
   class ExtInner extends Cls::InnerCls;
      task check;
         if (m_pub != 1) $stop;
         if (m_prot != 20) $stop;
         f_pub();  // Ok
         f_prot();  // Ok
         s_pub();  // Ok
         s_prot();  // Ok
         Cls::InnerCls::s_pub();  // Ok
         Cls::InnerCls::s_prot();  // Ok
      endtask
   endclass
endclass

module t (/*AUTOARG*/);
   const Cls mod_c = new;
   const Cls::InnerCls imod_c = new;

   initial begin
      Cls c;
      Cls::InnerCls i;
      Ext e;
      Ext::ExtInner ei;
      if (c.A != 10) $stop;
      if (i.A != 10) $stop;
      c = new;
      i = new;
      e = new;
      ei = new;
      if (c.m_pub != 1) $stop;
      if (i.m_pub != 1) $stop;
      //
      if (mod_c.A != 10) $stop;
      if (imod_c.A != 10) $stop;
      //
      c.check();
      i.check();
      e.check();
      ei.check();
      //
      Cls::s_pub();  // Ok
      Cls::InnerCls::s_pub();  // Ok
      c.s_pub();  // Ok
      i.s_pub();  // Ok
      e.s_pub();  // Ok
      ei.s_pub();  // Ok
      //
      $write("*-* All Finished *-*\n");
      $finish;
   end
endmodule