File: t_gen_for1.v

package info (click to toggle)
verilator 5.038-1
  • links: PTS, VCS
  • area: main
  • in suites: forky, sid
  • size: 162,552 kB
  • sloc: cpp: 139,204; python: 20,931; ansic: 10,222; yacc: 6,000; lex: 1,925; makefile: 1,260; sh: 494; perl: 282; fortran: 22
file content (84 lines) | stat: -rw-r--r-- 1,872 bytes parent folder | download | duplicates (3)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2007 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0

module t (/*AUTOARG*/
   // Inputs
   clk
   );
   input clk;

   wire  b;
   reg   reset;
   integer      cyc = 0;

   Testit testit (/*AUTOINST*/
                  // Outputs
                  .b                    (b),
                  // Inputs
                  .clk                  (clk),
                  .reset                (reset));

   always @ (posedge clk) begin
      cyc <= cyc + 1;
      if (cyc==0) begin
         reset <= 1'b0;
      end
      else if (cyc<10) begin
         reset <= 1'b1;
      end
      else if (cyc<90) begin
         reset <= 1'b0;
      end
      else if (cyc==99) begin
         $write("*-* All Finished *-*\n");
         $finish;
      end
   end

endmodule

module Testit (clk, reset, b);
   input                  clk;
   input                  reset;
   output                 b;

   wire [0:0]             c;
   wire                   my_sig;
   wire [0:0]             d;

   genvar                    i;
   generate
      for(i = 0; i >= 0; i = i-1) begin: fnxtclk1
         fnxtclk fnxtclk1
           (.u(c[i]),
            .reset(reset),
            .clk(clk),
            .w(d[i]) );
      end
   endgenerate

   assign b                    = d[0];
   assign c[0]                 = my_sig;
   assign my_sig               = 1'b1;

endmodule

module fnxtclk (u, reset, clk, w );
   input                    u;
   input                    reset;
   input                    clk;
   output reg               w;

   always @ (posedge clk or posedge reset) begin
      if (reset == 1'b1) begin
         w            <= 1'b0;
      end
      else begin
         w            <= u;
      end
   end

endmodule