File: t_opt_ifjumpgo.v

package info (click to toggle)
verilator 5.038-1
  • links: PTS, VCS
  • area: main
  • in suites: forky, sid
  • size: 162,552 kB
  • sloc: cpp: 139,204; python: 20,931; ansic: 10,222; yacc: 6,000; lex: 1,925; makefile: 1,260; sh: 494; perl: 282; fortran: 22
file content (67 lines) | stat: -rw-r--r-- 1,524 bytes parent folder | download
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2025 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0

class uvm_object;
endclass

class uvm_callback;
endclass

class uvm_callbacks #(type T=uvm_object, type CB=uvm_callback);
   bit m_registered = 1;
   virtual function bit m_is_registered(uvm_object obj, uvm_callback cb);
      if (m_is_for_me(cb) && m_am_i_a(obj)) begin
         return m_registered;
      end
   endfunction

   virtual function bit m_is_for_me(uvm_callback cb);
      CB this_cb;
      // verilator lint_off WIDTHTRUNC
      return ($cast(this_cb, cb));
      // verilator lint_on WIDTHTRUNC
   endfunction

   virtual function bit m_am_i_a(uvm_object obj);
      T this_t;
      // verilator lint_off WIDTHTRUNC
      return ($cast(this_t, obj));
      // verilator lint_on WIDTHTRUNC
   endfunction
endclass

class my_object extends uvm_object;
endclass

class my_callback extends uvm_callback;
endclass

class other_object extends uvm_object;
endclass

module t;

   initial begin
      my_object obj;
      other_object oobj;
      my_callback cb;
      uvm_callbacks#(my_object, my_callback) ucs;
      bit i;

      obj = new;
      oobj = new;
      cb = new;
      ucs = new;

      i = ucs.m_is_registered(obj, cb);
      if (i !== 1) $stop;
      i = ucs.m_is_registered(oobj, cb);
      if (i !== 0) $stop;
      $write("*-* All Finished *-*\n");
      $finish;
   end

endmodule