1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68
|
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2023 by Antmicro Ltd.
// SPDX-License-Identifier: CC0-1.0
event evt1, evt2;
class Foo;
process p;
bit event_received;
function new();
p = process::self();
endfunction
virtual task ewait();
@evt1 $display("Foo received event `evt1`");
event_received = 1;
->evt2;
endtask
endclass
class Bar extends Foo;
function new();
super.new();
$display("Constructing Bar");
endfunction
virtual task ewait();
@evt1 $display("Bar received event `evt1`");
event_received = 1;
endtask
endclass
module t();
initial begin
process p;
Foo foo;
Bar bar;
fork
begin
foo = new;
foo.ewait();
end
begin
bar = new;
p = process::self();
bar.ewait();
end
join_none
p.kill();
->evt1;
@evt2 begin
if (!foo.event_received)
$stop;
if (bar.event_received)
$stop;
$write("*-* All Finished *-*\n");
$finish;
end
end
endmodule
|