1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82
  
     | 
    
      // DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2025 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
// Targets issue 6194
module t;
  logic clk;
  initial begin
    clk = 0;
    forever #(1) clk = ~clk;
  end
  task automatic task_example(int module_id, int channel);
    $display("task_example start:  module %0d, channel %0d", module_id, channel);
    @(posedge clk);
    $display("task_example end:  module %0d, channel %0d", module_id, channel);
  endtask
  initial begin : initial_block
    for (int m = 0; m < 2; m++) begin
      for (int i = 0; i < 2; i++) begin : forked_loop
        automatic int mod = m;
        automatic int ch = i;
        fork : forked_block
          task_example(mod,  ch);
        join
      end
    end
    #10
    $write("*-* Test 1 Finished *-*\n");
    for (int m = 0; m < 2; m++) begin
      for (int i = 0; i < 2; i++) begin : forked_loop
        automatic int mod = m;
        automatic int ch = i;
        fork : forked_block
          task_example(mod,  ch);
        join_any
      end
    end
    #10
    $write("*-* Test 2 Finished *-*\n");
    for (int m = 0; m < 2; m++) begin
      for (int i = 0; i < 2; i++) begin : forked_loop
        automatic int mod = m;
        automatic int ch = i;
        fork : forked_block
          task_example(mod,  ch);
          $display("extra statement");
        join_any
      end
    end
    #10
    $write("*-* Test 3 Finished *-*\n");
    for (int m = 0; m < 2; m++) begin
      for (int i = 0; i < 2; i++) begin : forked_loop
        automatic int mod = m;
        automatic int ch = i;
        fork : forked_block
          task_example(mod,  ch);
        join_none
      end
    end
    #10
    $write("*-* Test 4 Finished *-*\n");
    $write("*-* All Finished *-*\n");
    $finish;
  end
endmodule
 
     |